首页 > 学术期刊知识库 > 控制灯光的毕业论文

控制灯光的毕业论文

发布时间:

控制灯光的毕业论文

可以从灯光的节能方面去写

声控灯是一种声控电子照明装置,由音频放大器、选频电路、延时开启电路和可控硅电路组成。它提供了一种操作简便、灵活、抗干扰能力强,控制灵敏的声控灯,它采用人嘴发出约1秒的控制信号“嘶”声,即可方便及时地打开和关闭声控照明装置,并有防误触发而具有的自动延时关闭功能,并设有手动开关,使其应用更加方便。声控灯由话筒、音频放大器、选频电路、倍压整流电路、鉴幅电路、恒压源电路、延时开启电路、可控延时开关电路、可控硅电路组成。 声音控制就能让楼道里的灯亮一段时间,这样可以节能;对手机说出要拨打的姓名,手机会自动接通相应的电话号码。如今,人们对声控技术越来越熟悉。用声音代替肢体动作给人们带来了很多的好处和便利。因此,越来越多的声控设备广泛地应用到人们的生活当中。声控技术是模拟人的听觉系统和理解系统的原理实现的。一般的声控电脑设备在应用之前都要进行长时间“训练”。这个“训练”过程有点类似教婴儿听说,首先要把我们知道的告诉声控电脑设备,比如一句话怎么说才正确。电脑在“学习”这些话时,会把这些话拆成字或拼音中的声母和韵母去一点一点学习。这个“训练”或 “学习”过程很费时间。当然,“学习”时间越长,该声控设备也越灵。由于每个人的声音千差万别,因此声音可以用作安全认证的依据之一。别人可以模仿你的签字,猜测你的密码,甚至能留下你的指纹,但要模仿你的声音就有点太困难了。美国的一座智能化大厦就采用了声音识别的方式。到达公司门口,你必须通过安全检查。电子声控门卫将问候“早上好!”你也该回答“你好!”或“早上好!”之类的礼貌用语。此时电子门卫通过你的声音来辨别你是不是该公司的工作人员,如果确认你是,它将主动为您打开门,但如果不是,电子门卫将客气地将你 “拒之门外”。如果你视力不好或行走不便,声控设备也可助一臂之力。比如,你可以通过说话控制洗衣机、电视机、电脑等设备。让它们执行开启、关闭等简单的任务。装有声控设备的电脑还能为你朗读新收到的电子邮件,告诉你最新的消息。荷兰飞利浦公司最近还研制出了一种新型音响设备,如果你想听音乐,不用走到音响前找按钮,也用不着遥控器,即使你在厨房,只要喊一声“开始放音乐吧”,远在客厅的音响就能自动开启,再说出歌曲的名字,音响就能在几秒钟之内找到这首歌自动播放。更为神奇的是,如果你想不起来歌曲的名字,只要哼几句歌曲的旋律,音响就能辨别出是哪首歌,然后播放给你听。经过“学习”后的声控设备当然也可用来教大人和小孩们学习语言。举个例子吧,如果您不知道怎样发出‘WO’这个音,学过这个音的声控设备就可通过发这个音,配以嘴唇与舌头运动图像的同步播放来让您反复练习,直到发音准确为止。即使你听力有问题也不用担心,因为可以通过看嘴唇与舌头怎样运动来模仿和练习。未来的声控设备还可以成为人们的贴心朋友和助手。当你烦恼时,它能陪你聊天解闷;当你生病时,能告诉你得了什么病,应该吃什么药;当你上路出行时,能替你指路,为你保驾护航。不过,声控设备还有些问题有待解决。现在“训练”出的声控设备只能听懂我们所教的那些话,我们没有教的,它可就永远“听”不懂。另外,在嘈杂的马路边或者说话人口音很重的情况下,它也不一定能听懂。由于当前对人的听觉和理解系统还有很多地方没有完全研究清楚,所以声控技术还有很大的发展空间。

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

建筑设计中灯光运用人类的生活天天与光相伴,建筑和城市与光息息相关。光显示出的巨大艺术感染力,激发了建筑师、室内设计师、城市规划师对夜景照明创作的冲动。光是人居环境的要素,为人类居住的建筑和城市创造光明、舒适、绚丽。创造优美的光环境是建筑师、设计师义不容辞的责任。 光使建筑的实存成为可能。随着时间的变化,季节的更替,光的强弱发生了变化,建筑的形象也随之改变。人们就是在这样不断变化的形和影中感受光带给我们的奇妙世界。正如日本着名建筑大师安藤忠雄所说:“建筑设计就是要截取无所不在的光,并在特定场合去表现光的存在。建筑将光凝缩成最简约的存在,建筑空间的创造即是对光之力量的纯化和浓缩。” 大面积的明暗对比和光影变化,反映着光与建筑的完美交融。 记得老师在上课的时候讲过SOHO概念的例子,撇开功能不讲,自然光在其设计中就占有极其重要的地位,甚至以光为装饰。阳光感在小区环境景观中的体现则更为直接。环境作为小区的重要组成部分越来越受到人们的关注。人们对自然、阳光的渴望都直接反映在对环境景观的要求上。小区中的环境景观有别于自然环境,它是一种人造环境,是一种抽象化的自然,阳光作为自然要素之一,在这样特定的场所中,与人、建筑发生着关系,通过小区内小环境,让人感受到它的存在。由建筑围合的环境景观充当了阳光、雨水和风等自然要素的代言人,并成为居民生活体验的一部分。这里绝不是一个普通的可观赏树木的庭院,而是会有一种触动更深层情感的场所。在这样一个人与自然相结合的环境中,人在精神上达到了至高的享受。通过树种、树形的精心挑选和搭配,绿化、水景的合理布局,铺地、设施的巧妙安排等等,都可以在环境景观中体现到“阳光感”无处不在。另外在这个人造自然中,尽量创造出让人能感受阳光,聆听风声、雨声的场所,满足现代人生理、心理乃至精神上的渴望,使人们从日常生活的疲倦中解放出来。正如有些小区中设计的“阳光会所”、“阳光曲廊”等诸如此类的小品景观,让人充分与阳光、自然相交流,达到生理、心理和精神上的和谐统一。 光是建筑艺术的灵魂 光塑造形象:物的形象只有在光的作用下才能被视觉感知。正确地设光(指光量,光的性质和方向)能加强建筑造型的三维立体感,提升艺术效果,反之则导致形象平淡或歪曲。 光建构空间,明和暗的差异自然地形成室内外不同空间划分的心理暗示。光的微妙的强弱变化造就空间的层次感。 光渲染气氛:晴空万里,细雨连绵,不同的环境带给我们不同的心情,这当中光的变化起着重要作用。光渲染的气氛对人的心理状态和光环境的艺术感染力有决定性的影响。 光突出重点:没有重点就没有艺术而落人平庸。强化光的明暗对比能把表现的艺术形象或细节实现出来,形成抢眼的视觉中心。极高的对比还能产生戏剧性的艺术效果,令人激动。 光演现色彩:显色性好的人工光源可以象天然光一样真实地演现环境,人和物的缤纷色彩;显色性差的灯则造成颜色变异,丧失环境色彩的勉力。彩色灯光赋于光环境情感意识,使一些颜色响亮,但也会使一些颜色受到扭曲。 光装饰环境:光和影编织的图案,光洁材料反射光和折射光所产生的晶莹光辉,光有节奏的动态变化,灯具的优美造型都是装饰环境的宝贵元素,引人入胜的艺术焦点。 在以自然光源进行照明设计的时候,有一个办法可以采用,就是你要把整座建筑当作灯具,那么光源就在建筑物的外边,就是日光与天空的散光,接下来就可以考虑建筑物的开口部位,即门窗和天窗。 事实上建筑物的所有表面都在改变着光线,并将光线反射进窗户,照到物体上。自然光有两个组成部分,一个是日光, 另一个就是天空的散光。日光就是由太阳直接照射出来的光束;天空的散光就是空气中的微粒对阳光的散射。 设计人员必须清楚地意识到自然光直射与散射所产生的不同效果, 天空光的散射效果是光设计的背景,是基础,这一点是很多设计人员没有意识到的。 研究光与建筑的关系:其中包括 1、 光本身的性质(直射光、漫反射光、光的色彩,人工光、自然光); 2、 光的形状与建筑物的洞口;洞口在墙面上的高低位置对室内光照的影响; 3、 光射入建筑后的光域范围; 4、 透光材料(全透、半透,材料的色彩对光色的影响); 5、 室内不同形式、不同位置的承影面(墙面、地面、屋面)对室内光空间的影响; 6、 光在室内的明暗变化,小面积亮光与大面积光域对室内气氛营造的差别,可以用对比以营造空间变化。 通过研究以上6点光与建筑环境的关系,运用光与建筑的一些基本特性,最终去分析、思考我们要如何创造建筑内特定的光气氛。 建筑光环境设计在建筑节能方面同样大有可为。天然光是取之不尽,用之不竭的能源,要有效利用它。如果自然光能进入到某一空间, 那电光源就只能算是一个补充光源,进行定点照明,平衡一些亮度而已。 首先自然采光方面,应仔细考虑窗的面积及方位,并可设置反射阳光板;建筑内装修可采用浅色调,增加二次反射光线,通过这些手段保证获得足够的室内光线,并达到一定的均匀度,由此减少白天的人工照明,节省照明能耗。采光窗作为建筑构成一个元素,在艺术上应和建筑风格协调一致,在视觉上要求舒适,无眩光,功能上要考虑光、热与隔声的问题。建筑师根据不同建筑要求设计采光窗。目前除常见的侧窗和天窗外,天穹式采光窗、带反射挡光板的采光窗、阳光凹井采光窗、带跟踪阳光的镜面格栅窗和全反射采光窗使用也不少,呈现出采光窗多样化的发展趋势。 以往的建筑采光设计都是假定天空是阴天,不考虑直射阳光。这样的采光设计计算简单,对阳光多变带来的采光不稳定,过热、眩光等问题都回避掉了。随着技术的发展,特别是节能的影响,国际照明委员会编写了《国际采光指南》,为设计提供了设计依据和标准。利用晴天采光计算方法设计采光,约可减小15%的开窗面积,具有重要的节能和经济意义。另外直射阳光进入室内,不仅可给人们提供时间信息,多变的阳光和室内植物装饰,可增加室内视环境的情趣,赋于人有大自然的感受,可产生一种独特的艺术效果。 “自然光总是在不停地变化着,可以使建筑富于各种特征,在空间和光影的相互作用下,我们可以创造出戏剧性”……英国建筑师诺尔曼。福斯特这句话极好地表达出 “光”在设计师心目中的地位。 确实如此,我们稍做回想,就能历数出众多将“光”视为设计中不可或缺的重要元素的设计大师们:柯布西埃,路易。康,迈耶,贝律铭,安藤忠雄……,迈耶以光为笔,勾勒出浓淡相宜的“精致水墨”,贝律铭大方又不失细腻的光的塑造,安藤忠雄以光在无机墙面上描画的“动人表情”,简约而纯净的气息,一次次以它们令人震撼的艺术魅力展示光在建筑设计中的无穷潜力。 如果说大师们运用自然光表现空间和质感的惊人之笔给人以无限的启迪,那么居室环境中人工光技术运用的巨大进步则给我们展现了又一大可作为的天地。 光表现空间设计优秀的设计方案,首先对空间做慎重考虑,因为所有的建筑空间,无论有多少优点,难免有一些遗憾,首先要对其做扬长避短的再调整,即考虑对空间的二次创造,其中光对表现这种二次创造设计如强调空间、突出层次、虚化背景界限、深远空间等有极大的作用。 背景照明:使空间更人性化 背境照明的光线使房间充盈着柔和、迷人的光线,令空间人性化。为获得理想的背境光线,现代的照明设计采用反射自墙面和天花板的光线,这样就可以避免产生亮点,光线也不会在人的脸上产生阴影,从而达到令人满意的光线效果。背境照明的可以来自壁灯、吊灯或在橱柜、梁柱等高处光源。 重点照明:强化突出光线 重点照明采用精心布置的较为集中的光束照射某件物体、艺术品、盆景或某些建筑细部结构。主要目的是取得艺术效果。重点照明的设计常常使观赏者觉得光线是不太明亮的光源提供的,比如蜡烛或墙上的吊灯。嵌入式可调节照明装置、跟踪照明设备或可移动照明装置都可以提供重点照明的光线。 可以让灯光来营造出您所期望的情调和氛围,取得最动人、最富戏剧性的效果。正因为灯光具有如此魅力,可以根据各个房间和空间块面的特殊情形来进行照明规划。由于所要达到的意图和目标不同,设计方案自然也大相径庭。举例来说,如果一个房间没有必要突出家具物品陈设,便不妨采用漫射光照明,让柔和的光线遍洒每一个角落,而在那些放满艺术收藏品的区域,最有效的便是准确、直接的灯光投射,以突出主题。 一些公共活动场所(比如客厅、餐厅)需要有一种友好、亲切的气氛,产生这一效果的最好办法是选用传统的顶灯或枝形灯。如果还能辅以大落地窗,以便吸纳自然光线,效果则更佳。 有时,照明也有一些不寻常的用法。比如,把光源嵌在大理石楼梯的台阶内部,可以产生美丽的半透明光效。即使像台灯之类的家用照明用具,如果加以精心选择,所产生的投影效果和情调也会有很多变化。例如,手制羊皮纸灯罩和日本纸灯灯罩质地比较轻薄透明,光效透过它们射向四周,显得柔和、飘渺;而那些不太透光的灯罩会将光线向下聚拢,其效果与前者相比自然情趣迥异。要是灯架或灯罩上缺了这些饰物,如此丰富有趣的光影组合实在是难以企求的。 浴室的照明设计最好是或浪漫或平易的情调,复古的墙地砖在多层次灯光洋洋洒洒的照射下,带来了古典的美,而局部的投射光则将我们引入深邃。 光的装饰作用在现代居室设计中,光不再仅仅是照明作用,随着人们对环境气氛的要求越来越高,光所具有的装饰效果越来越多地被设计师们所运用,光有冷暖之分,有颜色,经过“裁剪”有形状,光与其他材质配合可共同演绎动人的场景效果。 光色最基础的便是冷暖,室内环境中只用一种色调的光源可达到极为协调的效果,如同单色的渲染,但若想有多层次的变化,则可考虑有冷暖光的同时使用。现代居室中考虑动用五颜六色的光营造温馨气氛的佳做举不胜举,例如淡黄色墙面和地面的房间,采用暖光源与地面石材相映,突出温暖气氛,而白色吊顶采用非直接照明用途的冷光源,达到了衬托暖光源的作用,是一种对比也是一种丰富。装饰照明的光色彩不同,人的视觉效果就不同。用照度适宜的中性白光照射白色或近似白色的墙面,会出现清洁、宽敞、明亮、醒目的效果。通常性况下,鲜艳、饱和、照度充足的彩光会带来健康、明亮、堆璨、瑰丽的效果,而光色不纯或照度不足的彩光则会造成不同程度的负面效果。如微弱的黄光会散发昏暗、暧昧的气息,暗淡的红光会渲染压抑、恐怖的气氛,幽暗的蓝绿光则会造成阴暗、诡秘的效果等等。 另外,照明效果直接受到建筑立面的材料材质的影响。不同颜色的墙面配合灯光给人以不同的感觉。粉刷墙壁应根据需要和条件选择适宜颜色的乳胶漆。一般用白色粉刷墙壁的居多。因为白色反光强,使房间显得洁净、宽敞、明亮,较适合小或暗的居室。淡橙色给人以热烈、愉快、兴奋和温暖的感觉,宜于冬季采用,如果更淡一些,便四季咸宜。红色刺激性较强,一般不宜用来粉刷房间。不过,如果用极淡的粉色浆刷墙,再配以各色灯泡,整个房间会造成热烈、温暖的气氛;用红色内墙乳胶漆来装饰结婚新房,更显得喜庆、热闹。淡蓝或淡绿,前者给人以清爽、开阔的感觉,后者具有安谧恬静的效果。南向的房间可用清淡些的色调,北向的房间宜用暖色调。房顶、墙壁、地面要依次渐变地布色,正如自然环境的过渡:天空的淡蓝,田野的浓绿,土地的黄褐。 光还可被“裁剪”成各种形状,或点,或绒,或面,光的边缘则可虚可硬,主要取决于受光面或是“穿过面” 的形状,如居室的门厅较为狭长,为了不使大门或客厅之间的连接看上去低矮、狭窄、冗长、阴暗,设计师通过大量用光,将其设计成了一个“光的环境”,一个处理精致的门厅走廊,从客厅往外看去,是另一处明亮、有趣的天地,而非简单地承担交通功能的走廊。 此外,光通过影对有质感肌理的材料表现的强化装饰效果,有时还会有意想不到的收获,如光与彩色玻璃的配合几乎可使任何色彩和花纹表现其绚丽多彩的装饰效果。 其实,最能影响室内环境的装饰项目就是光(照明),因为它在不同的程度上影响着我们的生活、工作与休闲。不同形式的照明会左右物体或空间的形象、色调以及它们给人留下的印象。照明既能营造也能破坏室内环境的气氛。 但实际情况常常是:建筑风格与结构已设计完成并付诸建筑实施,这时人们才想到照明,这是一个很大的错误。照明同其它因素一样,需要从设计之初就予与考虑。令人遗憾的是我们(建筑学、室内设计)在这方面缺乏训练与主动的学习思考,出色的照明设计应当把居住者所有的不同需求以及他们的生活方式考虑在内。(一些专业设计软件对光效果的设计分析帮助很大,如:3dsmax,Lightscape, finalrender,Vray, 但仍然需要掌握照明的理论和实践。) 总之,光在居室设计中的运用,令古今中外的很多设计师不断探索其神奇的魅力,当光被设计师们更好地利用并展现其魔力时,我们的生活空间将会创造得更美。

plc控制灯光毕业论文

前言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分不同的城市有不同城市的问题,但共性就是混合交通流问题。在交叉口如何解决混合交通流中的相互影响或彼此的相互影响,就是解决问题的关键!随着我国城市化建设的发展,越来越多的新兴城市的出现,使得城市的交通成为了一个绝对主要的问题。同时随着我国经济的稳步发展,随着城市机动车量的不断增加,人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,再加上政府大力发展的道交、出租车,使得车辆越来越多,这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式和交通规则的出台。因此,自80年代后期,很多城市纷纷扩建建城市道路,在道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对道路的系统研究和控制,加宽道路并没有充分发挥出预期的作用。而城市道路多十字路口、多交叉的特点,也决定了城市道路的交通状况必然受这种路况的制约。于是,旧的交通控制系统的弊病和人们越来越高的要求激化了矛盾,使原来不太突出的交通问题被提上了日程。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的多车道城市道路,缓解城区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。由于交通路口的形状和规模不一,所采用的信号灯的数量、控制要求不一,控制的复杂程度也就不一样,为此、有关部门愈来愈多的注重在交通管理中引进自动化、智能化技术,比如“电子警察”、自适应交通信号灯以及耗资巨大的交通指挥控制系统等。随着经济的发展和社会的进步,道路交通已愈来愈成为社会活动的重要组成部分。对交通的管控能力,也就从一个侧面体现了这个国家对整个社会的管理控制能力,因此各国都很重视用各种高科技手段来强化对交通的管控能力。...... 简单介绍分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,本设计介绍了应用PLC实现十字路口交通信号灯的自动控制。通过对交通信号灯的控制要求分析,对PLC控制系统进行了软、硬件设计,并通过实验证明该系统简单、经济、运行可靠,具有很高的实用价值.

内容简介: 毕业设计(论文) PLC交通灯电气控制设计,共17页,6857字 [摘 要]: 针对近年来城市交通的拥挤现象,特别是驾驶员违章严重、交通事故频发、车辆尾气污染等问题,介绍丁集计算机、信息、电子及通讯等众多高新技术手段于一体的智能交通指挥中心控制系统.该系统的安装及使用,大大缓解了城市道路堵塞现象、提高了道路的通行能力.减少了驾驶员违章的次数,抑制了交通事故的发生,同时对减轻车辆尾气排放,从而降低环境污染都起到了不可低估的作用. 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 [关键词]: 交通控制 交通灯 PLC控制机下载地址

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

这里有西门子PLC控制交通灯论文,可点击下载

声光控制灯毕业论文

兄弟那还不简单,现在网上有许多网站有代写论文功能,你试试有个叫鱼跃期刊的网站价格还可以接受。

说实话,为了几百分花这个精力,不值。。。同学,你还是自己写吧

声控路灯可以实现自动化,免去了人为去开灯的麻烦,特别是手里拿着东西时不用腾出手去开灯,只需跺跺脚或喊一声就亮了。

用声音控制灯的开关对于人们的日常生活来说提供了不少方便,尤其是用在地下车库很是合适,当外界发出声音以后,灯会自动开户,如果过了若干时间或者没有声音以后,它又会自动熄灭。但是如果用在露天就不是很恰当,因为它没有白天与黑夜之分,大白天也按这个程式操作就会浪费电力,因为大白天是没有必要开灯的。所以它虽然方便,但也要用对地方。

汽车灯光控制毕业论文

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

内容简介: 毕业设计(论文) PLC交通灯电气控制设计,共17页,6857字 [摘 要]: 针对近年来城市交通的拥挤现象,特别是驾驶员违章严重、交通事故频发、车辆尾气污染等问题,介绍丁集计算机、信息、电子及通讯等众多高新技术手段于一体的智能交通指挥中心控制系统.该系统的安装及使用,大大缓解了城市道路堵塞现象、提高了道路的通行能力.减少了驾驶员违章的次数,抑制了交通事故的发生,同时对减轻车辆尾气排放,从而降低环境污染都起到了不可低估的作用. 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 [关键词]: 交通控制 交通灯 PLC控制机下载地址

第一部分 摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 关键词:电路 单行线制 系统 导线 各种车灯 目录:(1)全车线路的连接原则 (2)识读电路图的基本要求 (3)以东风EQ1090型载货汽车线路为例全车线路的认读 a.电源系统线b.起动系统线路c.点火系统线路 d.仪表系统线路e.照明与信号系统线路 (4)全车电路的导线 (5)识读图注意事项 论汽车电路的识读方法 在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。 一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。 蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。 汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。 灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。 旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。 使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。 第二部分 第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。 一、全车线路的连接原则 全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则: (1)汽车上各种电器设备的连接大多数都采用单线制; (2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接; (3)各种用电设备采用并联连接,并由各自的开关控制; (4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表; (5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。 了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。 二、基本要求 一般来讲全车电路有三种形式,即:线路图、原理图、线束图。 (一)、识读电路图的基本要求 了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。 识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。 (二)、识读原理图的基本要求 原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。 识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。 (三)、识读线束图的基本要求 线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。 总上所述,掌握汽车全车线路(总线路),应按以下步骤进行: (1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。 (2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。 (3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。 (4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。 (5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。 三、全车线路的认读 下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。 (一)电源系统线路 电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下: (1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。 (2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。 (二)起动系统线路 启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。 启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。 发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。 根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过,24V电器系统不的超过。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。 (三)点火系统线路 点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点: (1)在低压电路中串有点火开关,用来接通与切断初级绕组电流; (2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。 (3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。 (四)仪表系统线路 仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下: (1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。 (2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为。 报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。 (五)照明与信号系统线路 照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下: (1)前照灯为两灯制,并采用双丝灯泡; (2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件; (3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制; (4)设有灯光保护线路; (5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮; (6)转向信号灯受转向灯开关控制; (7)电喇叭由喇叭按钮和喇叭继电器控制

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

光控灯毕业论文

兄弟那还不简单,现在网上有许多网站有代写论文功能,你试试有个叫鱼跃期刊的网站价格还可以接受。

兄弟,求人不如求已,网上下载的论文,参考参考。改动改动就行了。你不知道天下文章一大抄嘛

摘要:本文介绍了红外线感应开关的原理,采用热释电红外探头(PT8A2621)将接收到的微弱信号加以放大,然后驱动继电器,制成红外热释电感应开关。本开关能探测来自移动人体的红外辐射,只要人体进入探测区域,开关会自动开启。该设计可作为企业、宾馆、商场及住宅的走廊、楼梯、电梯间、卫生间、库房等处的自动开关,起到“人来灯自亮,人走灯自灭”的作用,既新颖方便,又节约用电,在某些场所还能起到威慑盗窃活动的防范作用。本设计结构简单,本身不发任何类型的辐射,器件功耗很小,价格低廉,隐蔽性好,应用范围广,所以可以通过扩展而达到实际的应用。关键词:红外线,感应开关,红外辐射,探测区域Abstract:this article will normally introduce the theory of dopuler effect, which advance PT8A2621 to zoom out micro-signal. then drive the relay, complete the infrared -heat electric on- off. this switch can mensurate moving-body infraed ray. once coming into the mensurate area, the switch will automatically turn on .this invitation could be used as auto-on-off in corporation, hotel, mall and could induce house like the lane, stairs, bathroom, stockroom. bringing the effectness that coming on, leaving off. it is innovate and convient, also reduce the expanse of electricity, could resist the steal activities. its structure is very simple. it can emit no ray, small size, low price, well cover-up, widely used, attach to practical advantage when : Infrared, Sensor switch, Infrared radiation, Detection of regional引言:作为世界上最大的发展中国家,我国是一个能源生产和消费大国。能源生产量仅次于美国和俄罗斯,居世界第三位;基本能源消费占世界总消费量的l/10,仅次于美国,居世界第二位。中国又是一个以煤炭为主要能源的国家,发展经济与环境污染的矛盾比较突出。近年来能源安全问题也日益成为国家生活乃至全社会关注的焦点,日益成为中国战略安全的隐患和制约经济社会可持续发展的瓶颈。上个世纪90年代以来,中国经济的持续高速发展带动了能源消费量的急剧上升。自1993 年起,中国由能源净出口国变成净进口国,能源总消费已大于总供给,能源需求的对外依存度迅速增大。煤炭、电力、石油和天然气等能源在中国都存在缺口,因此节能思想尤为重要,节能的中心思想是采取技术上可行、经济上合理以及环境和社会可接受的措施,来更有效地利用能源资源。为了达到这一目的,需要从能源资源的开发到终端利用, 更好地进行科学管理和技术改造,以达到高的能源利用效率和降低单位产品的能源消费。由于常规能源资源有限,而世界能源的总消费量则随着工农业生产的发展和人民生活水平的提高越来越大,世界各国十分重视节能技术的研究,特别是节约常规能源中的煤、石油和天然气,因为这些还是宝贵的化工原料;电力作为一种洁净方便的能源广泛的应用与我们的生活与生产方面,因此电能的节能尤为重要,要节能首先就要做到节约能源,其次再通过科学研究发明更加人性化和节能的用电器。热释电红外传感器是一种能检测人或动物发射的红外线而输出电信号的传感器。早在1938年,有人提出过利用热释电效应探测红外辐射,但并未受到重视,直到六十年代,随着激光、红外技术的迅速发展,才又推动了对热释电效应的研究和对热释电晶体的应用。热释电晶体已广泛用于红外光谱仪、红外遥感以及热辐射探测器,它可以作为红外激光的一种较理想的探测器。它目标正在被广泛的应用到各种自动化控制装置中。除了在我们熟知的楼道自动开关、防盗报警上得到应用外,在更多的领域应用前景看好。比如:在房间无人时会自动停机的空调机、饮水机。电视机能判断无人观看或观众已经睡觉后自动关机的机构。 1红外线感应灯控制系统研究的目的意义课题目的:本课题是设计一个红外线感应灯控制系统控制系统,通过本设计了解红外线感应灯控制系统的工作原理,进而研究红外线感应灯控制系统的设计方法。通过已学的模拟电路知识设计红外线感应灯控制开关电路,再利用由光敏电阻组成的光感电路来控制感应灯开关的触发与否,将控制开关与节能灯组成家庭照明系统。课题意义:现代化家居照明系统要适应网络时代的发展,应引入智能化的概念。在传统的家居照明系统中,一般都是综合布线,使用刀开关来控制,灯具的寿命短,较费电。但近年来,随着经济的发展和科技的进步,人们对照明灯具节能和科学管理提出了更高的要求,使得照明控制在智能化领域的地位越来越重要。而在商品房的建设热潮中,各大楼盘和房地产商也意识到了智能照明的重要性。使用智能照明控制系统,更能体现其在节能与管理方面的优势。红外智能节电开关由于触发的时候不需要人发出任何声音,而是人走过时身体向外界散发红外热量最终控制灯具的开启,当人离开后,经过一定时间的延时,自动熄灭。因为不同于声光控灯,不需要声音和开关控制,从而避免了声控噪音的侵扰,同时因为它是感应人体热量控制开关,所以避免了无效电能的损耗,达到节能效果。 现在的公共场所照明(比如公共走廊及楼梯间)应用最多的还是几年前出现的声光控延时灯具和开关。这种灯具和开关的出现,实现了人来灯亮,人走灯灭,目前已成为公共场所照明开关的主流产品。当然,这种产品在某种程度上说确实实现了节能的目的,但同时也给人们的生存环境造成了一定的破坏。由于产品本身性能的限制,这种声光控灯具和开关自动控制的实现需要(超过60分贝)声音的配合,这就给大众需要的安静环境造成一定的噪声污染。 随着社会的发展和人们对生态环境的重视,这种声光控灯具和开关已慢慢不能满足人们的需要,这就要求更加节能和环保的自动照明控制产品的出现,以满足人们对高质量生活的需求。 红外智能节电开关是以成熟的红外感应技术为平台,加入更多的高新技术元素而形成的一种具有广阔市场前景的高科技产品,它的出现弥补了声光控技术的缺陷,它的自动控制的实现不需要声音和其他会给环境造成影响的条件的配合,而是人走过时身体向外界散发红外热量最终实现它的自动控制功能。2红外线感应灯控制系统的现状及发展趋势我国照明缺乏独创产品,模仿产品居多,基础加工落后,只顾外表,轻视功能,产品的品种比较单一,性能差。尤其是在“智能”照明方面,缺乏创新,与国外智能灯具在技术研究方面有着不小的差距。我国现阶段的照明系统一般采用主电源经配电箱分成多路配电输出线,提供照明灯回路用电,由串接在照明灯回路中的开关面板直接接通或断开供电线来实现对灯的控制,灯只有开和关两种状态,无逻辑时序及亮、暗调光控制,因而无法形成各种灯光亮度组合的场景及系统控制。而美国、日本等国家和台湾地区对LED照明效益进行了预测,美国55%白炽灯及55%的日光灯被LED取代,每年节省350亿美元电费,每年减少亿吨二氧化碳排放量。日本100%白炽灯换成LED,可减少1~2座核电厂发电量,每年节省10亿公升以上的原油消耗。台湾地区15%白炽灯换成LED,每年节省110亿度电。全球性的能源短缺和环境污染在经济高速发展的中国表现得尤为突出,节能和环保是中国实现社会经济可持续发展所急需解决的问题。每年照明电能消耗约占全部电能消耗的12%~15%,作为能源消耗的大户,必须尽快寻找可以替代传统光源的节能环保光源。LED以其较之于传统照明光源所没有的优势,诸如较低的功率需求、较好的驱动特性、较快的响应速度、较高的抗震能力、较长的使用寿命、绿色环保以及不断快速提高的发光效率等,成为目前我国今后照明系统发展的方向。基于目前国内国际形势,尤其是能源紧缺,智能照明必是以后照明系统的发展方向。智能照明将会使人们利用起来更加便利,改善家庭环境,提高管理水平,减少维护费用,不仅为建筑照明提供多种的艺术效果,而且使灯具控制和维护变得更为简单,而且具有可靠性高、安装布线容易。

交通灯智能控制系统设计1.概述 当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。2.过程分析 图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。交通灯闪亮的过程:路口1的车直行时的所有指示灯情况为:3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红路口2的车直行时的所有指示灯情况为:4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红故路口3的车直行时的所有指示灯情况为:1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红故路口4的车直行时的所有指示灯情况为:2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红 图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计 本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件 ORG 0000H LJMP MAIN ORG 0100HMAIN: MOV SP,#60H; LCALL DIR ;调用日期、时间显示子程序LOOP: MOV P1,#0FFH LJMP TEST LCALL ROAD1 ;路口1的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 MOV P1,#0FFH ;恢复P1口高电平 LCALL RESET ;恢复8155各口为高电平 LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口 LCALL ROAD2 ;路口2的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL ROAD3 ;路口3的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口高电平 LJMP TEST LCALL ROAD4 ;路口4的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 SETB ;恢复高电平 SETB ;恢复高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 SETB ;恢复高电平 SETB ;恢复高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LJMP LOOP;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红ROAD1: MOV DPTR,#7F00H ;置8155命令口地址;无关位为1) MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式 MOVX @DPTR,A ;写入工作方式控制字 INC DPTR ;指向A口 MOV A,#79H ;1a1b4p红1c绿2a2b1p红 MOVX @DPTR,A INC DPTR ;指向B口 MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红 MOVX @DPTR,A MOV P1,#0DEH ;4c红2c绿 RET 6、结语 本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。6、参考资料 [1]韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005 [2]刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003 [3]胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

  • 索引序列
  • 控制灯光的毕业论文
  • plc控制灯光毕业论文
  • 声光控制灯毕业论文
  • 汽车灯光控制毕业论文
  • 光控灯毕业论文
  • 返回顶部