首页 > 期刊投稿知识库 > 论文时钟参考文献

论文时钟参考文献

发布时间:

论文时钟参考文献

征引过的文献在注释中已注明,不再出现于文后参考文献中。按照字面的意思,参考文献是文章或著作等写作过程中参考过的文献。然而,按照GB/T 7714-2015《信息与文献 参考文献著录规则》”的定义,文后参考文献是指:

根据《中国学术期刊(光盘版)检索与评价数据规范(试行)》和《中国高等学校社会科学学报编排规范(修订版)》的要求,很多刊物对参考文献和注释作出区分,将注释规定为“对正文中某一内容作进一步解释或补充说明的文字”,列于文末并与参考文献分列或置于当页脚地。

扩展资料:

书写格式:

参考文献按照其在正文中出现的先后以阿拉伯数字连续编码,序号置于方括号内。一种文献被反复引用者,在正文中用同一序号标示。一般来说,引用一次的文献的页码在文后参考文献中列出。格式为著作的“出版年”或期刊的“年,卷(期)”等+“:页码(或页码范围).”。多次引用的文献,每处的页码或页码范围分别列于每处参考文献的序号标注处,置于方括号后并作上标。作为正文出现的参考文献序号后需加页码或页码范围的,该页码或页码范围也要作上标。作者和编辑需要仔细核对顺序编码制下的参考文献序号,做到序号与其所指示的文献同文后参考文献列表一致。另外,参考文献页码或页码范围也要准确无误。

专著M ; 报纸N ;期刊J ;专利文献P;汇编G ;古籍O;技术标准S ;学位论文D ;科技报告R;参考工具K ;检索工具W;档案B ;录音带A ;图表Q;唱片L;产品样本X;录相带V;会议录C;中译文T;乐谱I; 电影片Y;手稿H;微缩胶卷U ;幻灯片Z;微缩平片F;其他E。

我们写论文中的“参考文献”又叫参考书目,根据我自己写论文的经历来看它的意思是指我们在撰写毕业论文过程中所查阅参考借鉴过的著作和报刊杂志等等一些资料,然后把它标注在在毕业论文的末尾。

一、那论文的参考文献具体是指什么呢?

二、我们在引用参考文献时需要注意什么呢?

三、我给大家讲一下参考文献格式:

1、参考文献和注释。按论文中所引用文献或注释编号的顺序列在论文正文之后,参考文献之前。图表或数据必须注明来源和出处。

[编号]、作者、文章题目、期刊名(外文可缩写)、年份、卷号、期数、页码。

[编号]、作者、书名、出版单位、年份、版次、页码。

2、附录。包括放在正文内过分冗长的公式推导,以备他人阅读方便所需的辅助性数学工具、重复性数据图表、论文使用的符号意义、单位缩写、程序全文及有关说明等。

[M]——专著,著作

[C]——论文集(一般指会议发表的论文续集,及一些专题论文集,如《xxx大学研究生学术论文集》

[N]—— 报纸文章

[J]——期刊文章:发表在期刊上的论文,尽管有时我们看到的是从网上下载的(如知网),但它也是发表在期刊上的,你看到的电子期刊仅是其电子版

[D]——学位论文 :不区分硕士还是博士论文

[R]——报告:一般在标题中会有"关于xxxx的报告"字样

[S]—— 标准

[P]——专利

[A]——文章:很少用,主要是不属于以上类型的文章

[Z]——对于不属于上述的文献类型,可用字 母"Z"标识,但这种情况非常少见

[DB/OL] ——联机网上数据(database online)

[DB/MT] ——磁带数据库(database on magnetic tape)

[M/CD] ——光盘图书(monograph on CDROM)

[CP/DK] ——磁盘软件(computer program on disk)

[J/OL] ——网上期刊(serial online)

[EB/OL] ——网上电子公告(electronic bulletin board online)

很显然,标识的就是该资源的英文缩写,/前面表示类型,/后面表示资源的载体,如OL表示在线资源。

四、经验总结

我们在写论文的时候,尤其是我们的毕业论文,说多了都是泪呀,这都是根据我自己当年写毕业论文的血泪史,总结出来的结论参考文献有三个好处:

参考文献是指作者在写作过程中借鉴过的、对本文有启发的文献,一般标注在文章末尾。有的会在文章具体位置中标明具体的参考页码,有的不会。受文献启发的地方不一定要和原文完全一致,有时可同义转述或同义概述。

需要注意的是,各种杂志社的参考文献格式会各不相同,因此没有绝对统一的标准,一切以杂志社的要求为准。

参考文献格式注意事项:参考文献虽然没有绝对统一的标准,但是有基本标准。

参考文献基本格式:

主要责任者. 题名:其他题名信息[文献类型标识/文献载体标识]. 其他责任者. 版本项. 出版地:出版者,出版年:引用页码.

一、参考文献怎么引用才合理

(1)参考文献的类型

参考文献类型较多,主要有专著[M], 论文集[C],报纸文章[N],期刊文章[J],学位论文[D],报告[R],标准[S],专利[P],论文集析出文献[A]等等,其中最常见的是期刊文章。

(2)引用参考文献的数量

一般学术文章的参考文献数量以20-40篇为宜,综述类文章的参考文献一般会比研究类参考文献数量多。除综述外,其他文章的参考文献超过40则说明相对于你的研究结果而言,讨论和前言部分所涉及的内容有可能过多,需要删减。

(3)参考文献正文中的引用格式

正文中参考文献的引用格式以不同的学校要求为准,但不外乎数字编号和人名。

数字编号比较简单,仅仅按照从前到后的顺序给所出现的文献一一编号即可。万一中间需要添加或删除参考文献,后面的所有数字就会改变。如果我们手动录入参考文献,那是十分麻烦的。这时候就需要用到endnote软件或者其他相关参考文献引用的软件帮助。

还有一种正文引用是人名的引用。一般而言,文献是一位作者的,直接写这位作者的名字即可;如果是两位作者,则这两位作者中间用and连接;若是三位或三位以上作者,则输入第一作者的名字后面加et al.表示。由于et al.是拉丁文的“等”的意思,需要斜体,又是缩写,所以后面要加点号。

有时候正文中我们会提及作者的名字,此时参考文献的引用位置往往紧跟名字的后面。如It is reported by Li et al.[Li et al., 2010] that …….

(4)参考文献的内容与引文一致

引用之处的内容必须是出自所引参考文献的内容。我们可以通过参考文献的题目来大致判断是否正确引用。那种驴唇不对马嘴的引用肯定是错误的,遇到这样的引用必须做出修改。

(5)尽量引用原始文献

好多学生为了省事,拿来一篇文献引用里面的句子的同时,再次引用这篇文章所引用的其他参考文献,这就是二次引用。二次引用的危害在于在引用过程中,肯定会有人曲解了原文的意思,一错再错,这跟以讹传讹的道理是相似的。

那么如何避免这种情况的发生?我们可以查阅二次引用的原始文献,看原始文献是如何描述的,看引用的这句话是否符合原始文献的内容。确保无误的情况下再引用,才能避免错误引用。

(6)尽量选择较新的文献

这一点不是绝对的,倘若我们写的这篇论文确实是早些年有研究,中断了一段时间之后,重新研究。这时难免会引用早些年的文献。再者,有的文献确实是经典的,提出的理念至今都是说得通的,此时引用这些文献也是无可厚非的。但毕竟这两种情况是少数,大部分的研究还是基于最新研究的基础上进行的。因此引用参考文献也必须有几篇较新的参考文献,才能显示我们的研究的意义及重要性,特别是引言部分的描述。

(7)参考文献的档次

参考文献的档次在某种程度上决定了咱们所写文章的档次。我们的引文大部分都是2-5分左右的文章,那么我们的文章差不多也可以发2-5分左右,当然审稿老师并不会注意这个问题,因为没人会一一核实你所引用的文献的影响因子。

二、如何正确选用参考文献

(1)避免选用脱离论文主题的参考文献

选择参考文献必须紧紧围绕主题,为表现和论证主题服务。凡是能有力地说明、突出、烘托主题的就选用,否则就舍弃,这是选择参考文献的一个基本原则。有些论文在运用参考文献时常常犯不忍割爱的毛病,将一些与主题无关的参考文献,写进文章里,参考文献与主题脱节,影响了主题的表达。

甚至于有些论文只是为了体现论文的参考文献的新颖,直接使用了与论文主题无关的最新参考文献,这不但没能体现论文的参考文献新颖,反而是内容累赘、多余。

(2)选择参考文献不够典型

所谓典型参考文献,是指那些最有特征、最有代表性,能有力地揭示事物的本质,能集中地表现论文主题的参考文献。围绕主题选材,但没有必要,事实上也不可能把与主题有关的参考文献都写进去,必须精选典型参考文献。

对于十分经典的参考文献,要考虑是否过旧,过旧的参考文献或者广为人知的参考文献,我们应该简要概述。即便是十分典型的参考文献,太旧或者已广为人知的参考文献虽然能论证我们的主题但是却让文章的内容显得不够新颖。

(3)选择的参考文献不够真实

论文中用的参考文献只有真实,才有力量。所谓真实,是指参考文献确是客观存在的,能反映客观事物的本来面貌。论文中所运用的参考文献真实,论点才站得住,才有说服力。而有些论文选材不准,没有鉴别真伪,引用的历史人物、事件、时间、地点、数字、引文等等没有认真地核对,出现误差。

在引用参考文献的时候,对于参考文献的详细内容,我们要细心做到每个细节都不能出错,对于数字的摘写要绝对的准确,不能因为自己的疏忽导致原本准确无误的真实参考文献变成了错误参考文献或者非真实参考文献。

这个可以到microchip公司的网站上下载,都是英语的,想要什么都有, 是这个公司的主页

电子时钟论文的参考文献

课程设计任务书课程设计名称 EDA课程设计 学生姓名 专业班级 设计题目 多功能数字钟设计 一、 课程设计目的1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手册的能力;3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;4、锻炼撰写研究报告、研究论文的能力;5、通过本实践环节,培养科学和严谨的工作作风。二、 设计内容、技术条件和要求l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。2、能利用实验系统上的按钮实现“校时”、“校分”功能;(1)按下“SA”键时,计时器迅速递增,并按24小时循环;(2)按下“SB”键时,计时器迅速递增,并按59分钟循环,并向“时”进位;(3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。3、能利用扬声器做整点报时:(1)当计时到达59’50”时开始报时,频率可为500Hz;计满23小时后回零;计满59分钟后回零。(2)到达59’59”时为最后一声整点报时,整点报时的频率可定为lKHz。4定时闹钟功能5、用层次化设计方法设计该电路,用硬件描述语言编写各个功能模块。6、报时功能。报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是否正确。三、 时间进度安排1周:(1) 完成设计准备,确定实施方案;(2) 完成电路文件的输入和编译;(4) 完成功能仿真。2周:(1) 完成文件至器件的下载,并进行硬件验证;(2) 撰写设计说明书。四、 主要参考文献(1)谭会生、瞿遂春,《EDA技术综合应用实例与分析》,西安电子科技大学出版社,2004(2)曹昕燕、周凤臣等,《EDA技术实验与课程设计》,清华大学出版社,2006指导教师签字: 2011年9月19日一、实验原理 : 用层次化设计的方法以VHDL语言编程实现以下功能:【1】 具有“时”、“分”、“秒”计时功能;时为24进制,分和秒都为60进制。【2】 具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到稳定接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按下时延时10ms再检测就不会检测到抖动的毛刺电平了。64Hz的信号周期为15.6ms,正适合做消抖信号。【3】 具有校时和清零功能,能够用4Hz脉冲对“小时”和“分”进行调整,并可进行秒零;【4】 具有整点报时功能。在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒发出一次高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。【5】 具有一键设定闹铃及正常计时与闹铃时间的显示转换。闹时时间为一分钟。二、程序流程:1、秒计数器模块设计:模块图如图1。六十进制带进位计数器,可清零,clk输入信号为1Hz脉冲,当q0计满9后q1增加1,当q0满9且q1记满5,q1、q0同时归零,co输出为高电平。q1为十位q0为个位。 图1程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity c60 is Port ( clk,clr : in std_logic;co :out std_logic;q1,q0 : out std_logic_vector(3 downto 0)); end c60; architecture one of c60 is begin process (clk,clr) variable cq1,cq0:std_logic_vector(3 downto 0); begin if clr='1' then cq1:=(others=>'0');cq0:=(others=>'0'); elsif (clk'event and clk='1') then if cq0<9 then cq0:=cq0 +1;co<='0'; elsif cq1<5 then cq1:=cq1+1;cq0:=(others=>'0'); elsif cq1=5 and cq0=9 then co<='1';cq1:=(others=>'0'); cq0:=(others=>'0'); else co<='0'; end if; end if; q1<=cq1; q0<=cq0; end process; end one; 仿真结果如下图2 2、分计数器同上。注:不同之处为分的clk输入信号为秒的进位信号。3、时计数器:模块图如图3。24进制无进位计数器,当计数信号计到23后再检测到计数信号时会自动零。带清零,clk输入为分秒进位相与的结果。q1为十位,q0为个位。 图3程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity c24 is Port ( clk : in std_logic;q1,q0 : out std_logic_vector(3 downto 0)); end c24;architecture one of c24 is begin process (clk) variable cq1,cq0:std_logic_vector(3 downto 0); begin if (clk'event and clk='1') then if cq1="0010" and cq0="1001" then cq1:="0000"; cq0:="0000"; elsif cq0<"1001" then cq0:=cq0+1; else cq0:="0000"; cq1:=cq1+1; end if; end if; q1<=cq1;q0<=cq0; end process; end one;仿真波形如下图4: 图44、分频器:模块图如图5。由四个分频器构成,输入信号in_clk为1024Hz脉冲信号。把输入的1024Hz信号分频为四个脉冲信号,即1Hz的秒脉冲,4Hz的校时、校分脉冲,64Hz的消抖脉冲以及512Hz的蜂鸣器低音输入。图5程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity div is Port ( in_clk : in std_logic;clk_512, clk_1,clk_4 ,clk_64:out std_logic); end div;architecture one of div is signal q512,a,b,c:std_logic; signal c1,c4,c64:integer range 512 downto 0; begin process(in_clk) begin if in_clk'event and in_clk='1' then q512<=not q512; if c64>=7 then c64<=0;c<=not c;else c64<=c64+1;end if; if c4>=127 then c4<=0;b<=not b;else c4<=c4+1;end if; if c1>=511 then c1<=0;a<=not a;else c1<=c1+1;end if; end if; end process; clk_512<=q512; clk_1<=a; clk_4<=b; clk_64<=c; end one;仿真波形如下图6: 图65、消抖:模块图如图7。分频出的用64Hz信号对sa校时信号、sb校分信号、sc秒清零信号、sd闹时设置信号进行防抖动处理。是由四个两级d触发器构成的,分别对输入的sa、sb、sc、sd信号的相邻两个上升沿进行比较以确定按键的按下,从而达到消抖的目的。 图7程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity xd is Port ( clk_64 : in std_logic;hj,mj,sclr,sdo :out std_logic;sa,sb,sc,sd : in std_logic); end xd;architecture one of xd is begin process(clk_64) variable sa_n,sa_p,sb_n,sd_n,sb_p,sc_n,sc_p,sd_p:std_logic; begin if clk_64'event and clk_64='1' then sa_p:=sa_n;sa_n:=sa; sb_p:=sb_n;sb_n:=sb; sc_p:=sc_n;sc_n:=sc; sd_p:=sd_n;sd_n:=sd; if sa_p= sa_n then hj<=sa;end if; if sb_p= sb_n then mj<=sb;end if; if sc_p= sc_n then sclr<=sc;end if; if sd_p= sd_n then sdo<=sd;end if; end if; end process; end one;仿真波形如下图8: 图86、闹钟时间的设定:模块图如图9。一键设定闹铃时间,内部由四个d触发器构成。当确定sd键按下时,将当前时间的小时和分的个位十位分别存入四个d触发器内,作为闹时时间。 图9程序如下library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity df4 is Port ( sd :in std_logic;hh,hl,mh,ml : in std_logic_vector(3 downto 0);hh_o,hl_o,mh_o,ml_o: out std_logic_vector(3 downto 0));end df4;architecture one of df4 is begin process (sd,hh,hl,mh,ml) begin if sd='1' then hh_o<=hh;hl_o<=hl;mh_o<=mh;ml_o<=ml;end if; end process; end one; 仿真波形如下图10:图107、二选一电路(1)一位二选一:模块图如图11。用以进行正常计时和校时/分的选择。alarm为经过消抖的校时/分信号。当按键未曾按下时,即校时/分信号没有到来时,二选一选择器会选择输出a(正常计时输入)信号,否则当alarm按键按下时输出y为校时/分输入信号——4Hz。图11程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity xuan21 is Port ( alarm,a,b: in std_logic;y:out std_logic); end xuan21 ; architecture one of xuan21 is begin process(alarm,a,b) begin if alarm='0' then y<=a;else y<=b; end if; end process; end one;仿真波形如下图12: 图12(2)三位二选一:模块图如图13。用以进行正常计时时间与闹铃时间显示的选择,alarm输入为按键。当alarm按键未曾按下时二选一选择器会选择输出显示正常的计时结果,否则当alarm按键按下时选择器将选择输出显示闹铃时间显示。 图13程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity x213 is Port ( alarm : in std_logic;y:out std_logic_vector(3 downto 0);a,b: in std_logic_vector(3 downto 0)); end x213; architecture one of x213 is begin process(alarm,a,b) begin if alarm='0' then y<=a;else y<=b; end if; end process; end one;仿真结果如下图14: 图148、整点报时及闹时:模块图如图15。在59分51秒、53秒、55秒、57秒给扬声器赋以低音512Hz信号,在59分59秒给扬声器赋以高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。当系统时间与闹铃时间相同时给扬声器赋以高音1024Hz信号。闹时时间为一分钟。图15程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity voice is Port ( hou1,huo0,min1,min0,sec1,sec0,hh,hl,mh,ml: std_logic_vector(3 downto 0);in_1000,in_500:in std_logic;q : out std_logic); end voice; architecture one of voice is begin process(min1,min0,sec1,sec0) begin if min1="0101" and min0="1001" and sec1="0101" then if sec0="0001" or sec0="0011" or sec0="0101" or sec0="0111" then q<=in_500; elsif sec1="0101" and sec0="1001" then q<=in_1000; else q<='0'; end if; else q<='0'; end if; if min1=mh and min0=ml and hou1=hh and huo0=hl then q<=in_1000; end if; end process; end one;仿真波形如下图16 图169、顶层原理图:三、感想通过这次设计,既复习了以前所学的知识,也进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在画顶层原理图时,遇到了不少问题,最大的问题就是根本没有把各个模块的VHD文件以及生成的器件都全部放在顶层文件的文件夹内,还有就是程序设计的时候考虑的不够全面,没有联系着各个模式以及实验板的情况来编写程序,以至于多考虑编写了译码电路而浪费了很多时间。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在分频模块中,设定输入的时钟信号后,却只有二分频的结果,其余三个分频始终没反应。后来,在数十次的调试之后,才发现是因为规定的信号量范围太大且信号的初始值随机,从而不能得到所要的结果。还有的仿真图根本就不出波形,怎么调节都不管用,后来才知道原来是路径不正确,路径中不可以有汉字。真是细节决定成败啊!总的来说,这次设计的数字钟还是比较成功的,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。四、参考资料:1、潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1)2、崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,20043、李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,20054、侯继红,李向东主编,EDA实用技术教程北京:中国电力出版社,20045、沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,20046、侯伯亨等,VHDL硬件描述语言与数字逻辑电路设计西安: 西安电子科技大学出版社,19977、辛春艳编著,VHDL硬件描述语言北京:国防工业出版社,2002

图11程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity xuan21 is Port ( alarm,a,b: in std_logic;y:out std_logic); end xuan21 ; architecture one of xuan21 is begin process(alarm,a,b) begin if alarm='0' then y<=a;else y<=b; end if; end process; end one;仿真波形如下图12: 图12(2)三位二选一:模块图如图13。用以进行正常计时时间与闹铃时间显示的选择,alarm输入为按键。当alarm按键未曾按下时二选一选择器会选择输出显示正常的计时结果,否则当alarm按键按下时选择器将选择输出显示闹铃时间显示。 图13程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity x213 is Port ( alarm : in std_logic;y:out std_logic_vector(3 downto 0);a,b: in std_logic_vector(3 downto 0)); end x213; architecture one of x213 is begin process(alarm,a,b) begin if alarm='0' then y<=a;else y<=b; end if; end process; end one;仿真结果如下图14: 图148、整点报时及闹时:模块图如图15。在59分51秒、53秒、55秒、57秒给扬声器赋以低音512Hz信号,在59分59秒给扬声器赋以高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。当系统时间与闹铃时间相同时给扬声器赋以高音1024Hz信号。闹时时间为一分钟。图15程序如下:library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity voice is Port ( hou1,huo0,min1,min0,sec1,sec0,hh,hl,mh,ml: std_logic_vector(3 downto 0);in_1000,in_500:in std_logic;q : out std_logic); end voice; architecture one of voice is begin process(min1,min0,sec1,sec0) begin if min1="0101" and min0="1001" and sec1="0101" then if sec0="0001" or sec0="0011" or sec0="0101" or sec0="0111" then q<=in_500; elsif sec1="0101" and sec0="1001" then q<=in_1000; else q<='0'; end if; else q<='0'; end if; if min1=mh and min0=ml and hou1=hh and huo0=hl then q<=in_1000; end if; end process; end one;仿真波形如下图16 图169、顶层原理图:三、感想通过这次设计,既复习了以前所学的知识,也进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在画顶层原理图时,遇到了不少问题,最大的问题就是根本没有把各个模块的VHD文件以及生成的器件都全部放在顶层文件的文件夹内,还有就是程序设计的时候考虑的不够全面,没有联系着各个模式以及实验板的情况来编写程序,以至于多考虑编写了译码电路而浪费了很多时间。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在分频模块中,设定输入的时钟信号后,却只有二分频的结果,其余三个分频始终没反应。后来,在数十次的调试之后,才发现是因为规定的信号量范围太大且信号的初始值随机,从而不能得到所要的结果。还有的仿真图根本就不出波形,怎么调节都不管用,后来才知道原来是路径不正确,路径中不可以有汉字。真是细节决定成败啊!总的来说,这次设计的数字钟还是比较成功的,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。四、参考资料:1、潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1)2、崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,20043、李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,20054、侯继红,李向东主编,EDA实用技术教程北京:中国电力出版社,20045、沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,20046、侯伯亨等,VHDL硬件描述语言与数字逻辑电路设计西安: 西安电子科技大学出版社,19977、辛春艳编著,VHDL硬件描述语言北京:国防工业出版社,2002 就这些

用51设计多好呢?为什么要用这么多元件啊?

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

优秀数字时钟论文参考文献

用51设计多好呢?为什么要用这么多元件啊?

我们刚刚做完的课程设计..给你啦~~数字钟设计报告设计者: 2006207320062046目录1 设计目的 32 设计要求指标 32.1 基本功能 32.2 扩展功能 43.方案论证与比较 44 总体框图设计 45 电路原理分析 45.1数字钟的构成 45.1.1 分频器电路 55.1.2 时间计数器电路 55.1.3分频器电路 65.1.4振荡器电路 65.1.5数字时钟的计数显示电路 65.2 校时电路 75.3 整点报时电路 86系统仿真与调试 87.结论 8参考文献 9实验作品附图 10数字钟摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。1 设计目的1.掌握数字钟的设计、组装与调试方法。2.熟悉集成元器件的选择和集成电路芯片的逻辑功能及使用方法。3.掌握面包板结构及其接线方法4.熟悉仿真软件的使用。2 设计要求及指标2.1基本功能1)时钟显示功能,能够正确显示“时”、“分”、“秒”。2)具有快速校准时、分、秒的功能。3)用555定时器与RC组成的多谐振荡器产生一个标准频率(1Hz)的方波脉冲信号。2.2扩展功能1)用晶体振荡器产生一个标准频率(1Hz)的脉冲信号。2)具有整点报时的功能。3)具有闹钟的功能。4)……3、方案论证与比较本设计方案使用555多谐振荡器来产生1HZ的信号。通过改变相应的电阻电容值可使频率微调,不必使用分频器来对高频信号进行分频使电路繁复。虽然此振荡器没有石英晶体稳定度和精确性高,由于设计方便,操作简单,成为了设计时的首选,但是由于与实验中使用的555芯片产生的脉冲相比较,利用晶振产生的脉冲信号更加的稳定,同过电压表的测量能很好的观察到这一点,同时在显示上能够更加接进预定的值,受外界环境的干扰较少,一定程度上优于使用555芯片产生信号方式。我们组依然同时设计了555和晶振两个信号产生电路。(本实验报告中着重按照原方案设计的555电路进行说明)4、 系统设计框图数字式计时器一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。在本设计中555振荡器及其相应外部电路组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以‘时’、‘分’、‘秒’的数字显示出来。‘时’显示由二十四进制计数器、译码器、显示器构成,‘分’、‘秒’显示分别由六十进制计数器、译码器、显示器构成。其原理框图如图1.1所示。5、电路原理分析5.1数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.在此使用555振荡器组成1Hz的信号。数字钟原理框图(1.1)5.1.1振荡器电路555定时器组成的振荡器电路给数字钟提供一个频率为1Hz的方波信号。其中OUT为输出。5.1.2时间计数器电路时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器.5.1.3分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768( ),即实现该分频功能的计数器相当于15级2进制计数器。5.1.4振荡器电路利用555定时器组成的多谐振荡器接通电源后,电容C1被充电,当电压上升到一定数值时里面集成的三极管导通,然后通过电阻和三极管放电,不断的充放电从而产生一定周期的脉冲,通过改变电路上器件的值可以微调脉冲周期。5.1.5数字时钟的计数显示控制在设计中,我们使用的是74**160十进制计数器,来实现计数的功能,实验中主要用到了160的置数清零功能(特点:消耗一个时钟脉冲),清零功能(特点:不耗时钟脉冲),在上级160控制下级160时候通过组合电路(主要利用与非门)实现,在连接电路的时候要注意并且强调使能端的连接,其将影响到整一个电路的是否工作。电路的控制原理如下:秒钟由个位向十位进位:0000—0001—0010—0011—0100—0101—0110—0111—1000—1001实现个位的计数,采用的是置数的方式(利用RCO端口),当电路计数到1001的时候采用一个二输入与非门接上级输入的高位和低位输出作为下级的信号,实现了秒区的个位和十位的显示与控制。设计中注意到接的是一个与非门而不是与门,目标在产生一个时钟脉冲。实现正确的显示。由秒区向分区的显示控制:基本原理同上,在秒区十位向时区个位显示的时:0000—0001—0010—0011—0100—0101产生了六个脉冲的时候向下级输出一个时钟脉冲,利用的还是与非门,目标仍是实现正确的计时显示。分区的显示及整体电路反馈清零:当数值显示达到:23:59的时候要实现清零的工作,采用CLR清零的方式反馈清零。具体设计接出控制端的9,5,3,2用十六进制表示后高电平对应引脚接与非,将非门输出信号的值反馈给各个160芯片的清零端(CLR)既可以实现清零了。5.2 校时功能的实现当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.根据要求,数字钟应具有分校正功能,因此,应截断分个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.在实验实现过程中使用的是通过开关(普通开关)来实现高低电平的切换,手动赋予需要的高低电平来实现脉冲的供给,将脉冲提供到所需要的输入(CLK)端口,实现校时,仿真过程中能够正常校时并且在校时的时候达到了预定的效果;而在我们进入实际电路连接的时候,利用开关(手控导线点触实现)来实现校时再不像仿真那样的精确了,原因分析是由于使用的是普通的开关同时利用的是手动的对CLK端口赋予脉冲信号,在实现手动生成脉冲信号的过程中产生了扰动,即相当于产生了多个的脉冲信号对需要的数码管进行校时,如此,并没有达到仿真的精确效果,但是在实验中通过改进电路的校时方式,不是用手触开关产生脉冲信号(如若需用手触则需要使用一个锁存器实现去抖动,才能够在脉冲生成时候不产生干扰的脉冲,实现正常的校时),而是使用信号发生器实现信号的提供,对需要校时的数码管在相对应的CLK端口提供脉冲信号实现校时,利用此方式实现校时则比手触开关方式效果要好。5.3 报时的实现报时功能的实现原理较为简单,即对所需要报时的输出量进行控制,并对控制产生的信号作为LED显示的信号源,电路连接中要注意到的是在实现LED显示的时候最好连接上一个保护电阻对LED灯器到保护的作用。例如我们的校时时间是 23:59,0010—0011—0101—1001;利用相应的门电路实现满足端口输出是上述条件的时候进行报时即可。6、系统仿真与调试7、结论学贵以致用,通过几天的数字钟设计过程,将从书本上学到的知识应用于实践,学会了初步的电子电路仿真设计,虽然过程中遇到了一些困难,但是在解决这些问题的过程无疑也是对自己自身专业素质的一种提高。当最终调试成功的时候也是对自己的一种肯定。在当前金融危机大的社会背景下,能够增加自身砝码的不仅仅是一纸文凭证书,更为重要的是毕业生是否能够适应社会大潮流的需要,契合企业的要求即又较硬的动手操作及设计能力。此次的设计作业不仅增强了自己在专业设计方面的信心,鼓舞了自己,更是一次兴趣的培养,为自己以后的学习方向的明确了重点。另外在这次实验中我们遇到了不少的问题针对不同的问题我们采取不同的解决方法,最终一一解决设计中遇到的问题。还有在实验设计中我们曾遇到多块芯片以及数码管损坏的情况造成了数字钟的显示没有达到预期的效果,或是根本不显示,通过错误排除最终确认是元件问题,并向老师咨询跟换元件最终的到解决。在我们曾经遇到不懂的问题时,利用网上的资源,搜索查找得到需要的信息。参考文献:李庆武 《电子技术基础实验 数字电子技术及其EDA》 机械工业出版社 2006年7月P32及P78康华光 《电子技术基础 数字部分》(第五版) 高等教育出版社 2007年11月 P421范爱平.Multisim 2001在电力电子仿真技术中的应用[J].实验室研究与探索CSDN软件网下载文件

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

单片机时钟毕业论文参考文献

楼上的,人家说要外文、

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

单片机的参考文献 单片机的参考文献1 参考文献 1、 谢自美,《电子线路设计、实验、测试 》武汉:华中理工大学出版社,20xx 2、 何书森、何华斌《实用数字电路原理与设计速成》福州:福建科学技术出版社,20xx.6 3、 白驹衍, 《单片计算机及应用》北京:电子工业出版社, 1999.2请继续阅读相关推荐: 毕业论文 应届生求职 毕业论文范文查看下载 查看的论文开题报告 查阅参考论文提纲 查阅更多的毕业论文致谢 相关毕业论文格式

【1】V. Yu. Teplov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier Effect[J] ,2002 【2】 Yeager Brent.How to troubleshoot your electronic scale[J].. Powder and Bulk Engineering. 1995 【3】Meehan Joanne,Muir Lindsey.SCM in Merseyside SMEs:Benefits and barriers[J].. TQM Journal. 2008 [1] Behzad Razavi.Design of Analog CMOS Integrated Circuits[M]. . 2001 [2] Rhee W.Design of high-performance CMOS charge pumps inphase-locked loops. IEEE International Symposium on Cir-cuits and Systems. 1999 [3] Todd Charles Weigandt.Low-phase-noise,low-timing-jitter design techniques for delay cell based VCOs and frequency synthesizers[C]//PHDthesis. . 1998 [4] George Lee,Karina Ng,Edmond Kwang.Design of ring oscillator based voltage controlled oscillator. Project Final Report[R]. 2005 [5] T. C. Weigandt,B. Kim,and P. R. Gray.Analysis of Timing Jitter in CMOS Ring Oscillators. IEEE International Symposium on Circuits and Systems. 1994

单片机电子时钟设计论文参考文献

[1] 李东升等.protel 99SE电路设计教程.电子工业出版社,2007.1[2] 藏春华等.电子线路设计与应用.高等教育出版社,2004.7[3] 李学海.16位单片机SPCE061A使用教程——基础篇.人民邮电出版社,2007[4] 张琳娜,刘武发.传感检测技术及应用.中国计量出版社,1999[5] 邵敏权,刘刚.单片机原理实验及应用.吉林科学技术出版社,1995.1[6] 杨振江等.智能仪器与数据采集系统中的新器件及应用.西安电子科技大学出版 社,2001.12[7] 罗亚非等.凌阳16位单片机应用基础.北京航空航天大学出版社,2005.5[8] 刘笃仁,韩保君.传感器原理及应用技术。机械工业出版社,2003.8[9] 薛筠义,张彦斌.凌阳16位单片机原理及应用,2003.2[10] 徐爱卿.Intel 16位单片机,2002.7[11] 霍孟友等,单片机原理与应用机械工业出版社,2004.1 [12] 霍孟友等,单片机原理与应用学习概要及题解,机械工业出版社,2005.3[13] 许泳龙等,单片机原理及应用,机械工业出版社,2005.1 [14] 马忠梅等,单片机的C语言应用程序设计,北京航空航天大学出版社,2003修订版 [15] 薛均义 张彦斌 虞鹤松 樊波,凌阳十六位单片机原理及应用,2003年,北京航空航天大学出版社.

这个可以到microchip公司的网站上下载,都是英语的,想要什么都有, 是这个公司的主页

【1】V. Yu. Teplov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier Effect[J] ,2002 【2】 Yeager Brent.How to troubleshoot your electronic scale[J].. Powder and Bulk Engineering. 1995 【3】Meehan Joanne,Muir Lindsey.SCM in Merseyside SMEs:Benefits and barriers[J].. TQM Journal. 2008 [1] Behzad Razavi.Design of Analog CMOS Integrated Circuits[M]. . 2001 [2] Rhee W.Design of high-performance CMOS charge pumps inphase-locked loops. IEEE International Symposium on Cir-cuits and Systems. 1999 [3] Todd Charles Weigandt.Low-phase-noise,low-timing-jitter design techniques for delay cell based VCOs and frequency synthesizers[C]//PHDthesis. . 1998 [4] George Lee,Karina Ng,Edmond Kwang.Design of ring oscillator based voltage controlled oscillator. Project Final Report[R]. 2005 [5] T. C. Weigandt,B. Kim,and P. R. Gray.Analysis of Timing Jitter in CMOS Ring Oscillators. IEEE International Symposium on Circuits and Systems. 1994

  • 索引序列
  • 论文时钟参考文献
  • 电子时钟论文的参考文献
  • 优秀数字时钟论文参考文献
  • 单片机时钟毕业论文参考文献
  • 单片机电子时钟设计论文参考文献
  • 返回顶部