首页 > 期刊投稿知识库 > 汽车尾灯控制设计毕业论文

汽车尾灯控制设计毕业论文

发布时间:

汽车尾灯控制设计毕业论文

你好啊,你的汽车尾灯控制电路开题报告选题定了没?开题报告选题老师同意了吗?准备往哪个方向写?开题报告学校具体格式准备好了没?准备写多少字还有什么不懂不明白的可以问我,希望可以帮到你,祝开题报告选题顺利通过,毕业论文写作过程顺利。开题报告的撰写方法一、开题报告的含义与作用开题报告,就是当课题方向确定之后,课题负责人在调查研究的基础上撰写的报请上级批准的选题计划。它主要说明这个课题应该进行研究,自己有条件进行研究以及准备如何开展研究等问题,也可以说是对课题的论证和设计。开题报告是提高选题质量和水平的重要环节。研究方案,就是课题确定之后,研究人员在正式开展研之前制订的整个课题研究的工作计划,它初步规定了课题研究各方面的具体内容和步骤。研究方案对整个研究工作的顺利开展起着关键的作用,尤其是对于我们科研经验较少的人来讲,一个好的方案,可以使我们避免无从下手,或者进行一段时间后不知道下一步干什么的情况,保证整个研究工作有条不紊地进行。可以说,研究方案水平的高低,是一个课题质量与水平的重要反映。二、写好研究方案应做的基础性工作写好研究方案一方面要了解它们的基本结构与写法,但“汝果欲学诗,功夫在诗外”,写好开题报告和研究方案重要还是要做好很多基础性工作。首先,我们要了解别人在这一领域研究的基本情况,研究工作最根本的特点就是要有创造性,熟悉了别人在这方面的研究情况,我们才不会在别人已经研究很多、很成熟的情况下,重复别人走过的路,而会站在别人研究的基础上,从事更高层次、更有价值的东西去研究;其次,我们要掌握与我们课题相关的基础理论知识,理论基础扎实,研究工作才能有一个坚实的基础,否则,没有理论基础,你就很难研究深入进去,很难有真正的创造。因此,我们进行科学研究,一定要多方面地收集资料,要加强理论学习,这样我们写报告和方案的时候,才能更有把握一些,制定出的报告和方案才能更科学、更完善。三、课题研究方案的结构与写法 课题研究方案主要包括以下几个方面:(一)课题名称课题名称就是课题的名字。这看起来是个小问题,但实际上很多人写课题名称时,往往写的不准确、不恰当,从而影响整个课题的形象与质量。这就是平常人们所说的“只会生孩子,不会起名字”。那么,如何给课题起名称呢?第一,名称要准确、规范。准确就是课题的名称要把课题研究的问题是什么,研究的对象是什么交待清楚,课题的名称一定要和研究的内容相一致,不能太大,也不能太小,要准确地把你研究的对象、问题概括出来。规范就是所用的词语、句型要规范、科学,似是而非的词不能用,口号式、结论式的句型不要用。因为我们是在进行科学研究,要用科学的、规范的语言去表述我们的思想和观点。课题就是我们要解决的问题,这个问题正在探讨,正开始研究,不能有结论性的口气。第二,名称要简洁,不能太长。 不管是论文或者课题,名称都不能太长,能不要的字就尽量不要,一般不要超过20个字。这次各个学校课题申报表中,我看名称都比较简洁,我就不再多说了。(二) 课题研究的目的、意义研究的目的、意义也就是为什么要研究、研究它有什么价值。这一般可以先从现实需要方面去论述,指出现实当中存在这个问题,需要去研究,去解决,本课题的研究有什么实际作用,然后,再写课题的理论和学术价值。这些都要写得具体一点,有针对性一点,不能漫无边际地空喊口号。不要都写成是坚持党教育方针、实施素质教育、提高教育教学质量等一般性的口号。主要内容包括:⑴ 研究的有关背景(课题的提出):即根据什么、受什么启发而搞这项研究。 ⑵ 通过分析本地(校) 的教育教学实际,指出为什么要研究该课题,研究的价值,要解决的问题。(三)本课题国内外研究的历史和现状(文献综述)。规范些应该有,如果是小课题可以省略。一般包括:掌握其研究的广度、深度、已取得的成果;寻找有待进一步研究的问题,从而确定本课题研究的平台(起点)、研究的特色或突破点。 参考总课题报告。(四)课题研究的指导思想指导思想就是在宏观上应坚持什么方向,符合什么要求等,这个方向或要求可以是哲学、政治理论,也可以是政府的教育发展规划,也可以是有关研究问题的指导性意见等。对于范围比较大,时间又很长的课题来讲,大家在总的方面,有了一个比较明确的指导思想,就可以避免出现理论研究中的一些方向性错误。这里,我给大家介绍一下何老师在《佛山市教育现代化进程》研究方案里写的课题指导思想里的一段话:“这一课题研究要依据党中央和国家要求,依据广东省委省政府的决定,依据佛山市委市政府的决定,结合国情、市情和佛山市教育改革与发展的实际,……力求揭示佛山市教育现代化进程的规律及表现形式,为佛山市教育现代化实践服务”。另外,还有一份供大家参考一下,广东省教育科研“九五”规划重点课题《学科教学与素质教育》研究和实验方案里面,课题指导思想这样写:“坚持以马克思主义、毛泽东思想和邓小平理论为指导,从我国经济领域实现“两个转变”和我省2010年基本实现现代化对基础教育的要求出发,针对在中小学学科教学中实施素质教育的有关理论和实践问题,开展全方位的改革实验和理论研究,有效指导广大中小学教师在学科教学中深入教学改革,全面贯彻教育方针,全面提高教育质量,从而推进我省基础教育事业向前发展,为把广东建成教育强省作出贡献 ”。(五) 课题研究的目标课题研究的目标也就是课题最后要达到的具体目的,要解决哪些具体问题,也就是本课题研究要达到的预定目标:即本课题研究的目标定位,确定目标时要紧扣课题,用词要准确、精练、明了。相对于目的和指导思想而言,研究目标是比较具体的,不能笼统地讲,必须清楚地写出来。只有目标明确而具体,才能知道工作的具体方向是什么,才知道研究的重点是什么,思路就不会被各种因素所干扰。常见存在问题是:不写研究目标;目标扣题不紧;目标用词不准确;目标定得过高, 对预定的目标没有进行研究或无法进行研究。确定课题研究目标时,一方面要考虑课题本身的要求,另一方面要考虑课题组实际的工作条件与工作水平。(六)课题研究的基本内容我们有了课题的研究目标,就要根据目标来确定我们这个课题具体要研究的内容,相对研究目标来说,研究内容要更具体、明确。并且一个目标可能要通过几方面的研究内容来实现,他们不一定是一一对应的关系。大家在确定研究内容的时候,往往考虑的不是很具体,写出来的研究内容特别笼统、模糊,把研究的目的、意义当作研究内容,这对我们整个课题研究十分不利。因此,我们要学会把课题进行分解,一点一点地去做。 基本内容一般包括:⑴对课题名称的界说。应尽可能明确三点:研究的对象、研究的问题、研究的方法。⑵本课题研究有关的理论、名词、术语、概念的界说。(七)课题研究的方法1、本课题研究是否要设定子课题。 各子课题既要有一定的相对独立性,又要形成课题系统。作为省、市级课题,最好设定子课题。形成全校的课题研究系统。2、具体的研究方法可从下面选定: 观察法、调查法、实验法、经验总结法、 个案法、比较研究法、文献资料法等。如要研究学生实践能力的现状必定离不开调查法; 要研究如何优化小学生个性宜采用实验法;要研究如何对青年教师进行培养可采用经验总结法;要研究问题家庭学生的教育对策可采用个案法等等。3、确定研究方法时要叙述清楚“做些什么” 和“怎样做” 。如要用调查法,则要讲清调查的目的、任务、对象、范围、调查方法、问卷的设计或来源等。最好能把调查方案附上。4、提倡使用综合的研究方法。 一个大的课题往往需要多种方法,小的课题可能主要是一种方法,但也要利用其它方法。我们在应用各种方法时,一定要严格按照方法的要求,不能不三不四,凭经验、常识去做。比如,我们要通过调查了解情况,我们如何制订调查表,如何进行分析,不是随随便便发张表,搞一些百分数、平均数就行了。突出介绍行动研究法。(八)课题研究的步骤课题研究的步骤,也就是课题研究在时间和顺序上的安排。研究的步骤要充分考虑研究内容的相互关系和难易程度,一般情况下,都是从基础问题开始,分阶段进行,每个阶段从什么时间开始,至什么时间结束都要有规定。课题研究的主要步骤和时间安排包括:整个研究拟分为哪几个阶段;各阶段的起止时间;各阶段要完成的研究目标、任务;各阶段的主要研究步骤;本学期研究工作的日程安排等。(九)课题研究的成果形式本课题研究拟取得什么形式的阶段研究成果和终结研究成果。形式有很多,如调查报告、实验报告、研究报告、论文、经验总结、调查量表、测试量表、微机软件、教学设计、录像带等,其中调查报告、研究报告、论文是课题研究成果最主要的表现形式。 课题不同,研究成果的内容、形式也不一样,但不管形式是什么,课题研究必须有成果,否则,就是这个课题就没有完成。(十)课题研究的组织机构和人员分工在方案中,要写出课题组长、副组长、课题组成员以及分工。课题组组长就是本课题的负责人。一个课题组应该包括三方面的人,一是有权之士,二是有识之士,三是有志之士。有权了课题就可以得到更多的支持,有识了课题质量、水平就会更高,有志了可以不怕辛苦,踏踏实实踏实实去干。课题组的分工必须是要分得明确合理,争取让每个人了解自己工作和责任,不能吃大锅饭。但是在分工的基础上,也要注意全体人员的合作,大家共同研究,共同商讨,克服研究过程中的各种困难和问题。(十一)其他有关问题或保障机制如课题组活动时间; 学习什么有关理论和知识,如何学习,要进行或参加哪些培训; 如何保证研究工作的正常进行; 课题经费的来源和筹集; 如何争取有关领导的支持和专家的指导; 如何与校外同行交流等。四、注意三点:1、要学会搜集和获取信息。处处留心皆学问(积累)。2、要多学习,多借鉴。集思广益开眼界(学习与借鉴)。3、创新。登高望远多创意(创新)。

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔0.5S(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

汽车尾灯控制电路设计论文答辩

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔0.5S(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

论文常被用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。论文格式封面论文常指用来进行科学研究和描述科研成果的文章。它既是探讨问题进行科学研究的一种手段,又是描述科研成果进行学术交流的一种工具。它包括学年论文、毕业论文、学位论文、科技论文、成果论文等,总称为论文[1]。论文格式就是指进行论文写作时的样式要求,以及写作标准。直观的说,论文格式就是论文达到可公之于众的标准样式和内容要求。结构论文一般由题名、作者、摘要、关键词、正文、参考文献和附录等部分组成,其中部分组成(例如附录)可有可无。论文各组成的排序为:题名、作者、摘要、关键词、英文题名、英文摘要、英文关键词、正文、参考文献、附录和致谢[2]。题目1.题名规范题名应简明、具体、确切,能概括论文的特定内容,有助于选定关键词,符合编制题录、索引和检索的有关原则。2.命题方式简明扼要,提纲挈领。3.英文题名方法①英文题名以短语为主要形式,尤以名词短语最常见,即题名基本上由一个或几个名词加上其前置和(或)后置定语构成;短语型题名要确定好中心词,再进行前后修饰。各个词的顺序很重要,词序不当,会导致表达不准。②一般不要用陈述句,因为题名主要起标示作用,而陈述句容易使题名具有判断式的语义,且不够精炼和醒目。少数情况(评述性、综述性和驳斥性)下可以用疑问句做题名,因为疑问句有探讨性语气,易引起读者兴趣。③同一篇论文的英文题名与中文题名内容上应一致,但不等于说词语要一一对应。在许多情况下,个别非实质性的词可以省略或变动。④国外科技期刊一般对题名字数有所限制,有的规定题名不超过2行,每行不超过42个印刷符号和空格;有的要求题名不超过14个词。这些规定可供我们参考。⑤在论文的英文题名中。凡可用可不用的冠词均不用。摘要摘要是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜[3]。摘要的规范摘要是对论文的内容不加注释和评论的简短陈述,要求扼要地说明研究工作的目的、研究方法和最终结论等,重点是结论,是一篇具有独立性和完整性的短文,可以引用、推广。关键词关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作计算机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题分析,依照标引和组配规则转换成主题词表中的规范词语。(参见《汉语主题词表》和《世界汉语主题词表》)。

汽车尾灯电路设计毕业论文

1. 智能压力传感器系统设计 2. 智能定时器 3. 液位控制系统设计 4. 液晶控制模块的制作 5. 嵌入式激光打标机运动控制卡软件系统设计 6. 嵌入式激光打标机运动控制卡硬件系统设计 7. 基于单片机控制的数字气压计的设计与实现 8. 基于MSC1211的温度智能温度传感器 9. 机器视觉系统 10. 防盗与恒温系统的设计与制作 11. 防盗报警器 12. AT89S52单片机实验系统的开发与应用 13. 在单片机系统中实现SCR(可控硅)过零控制 14. 微电阻测量系统 15. 基于单片机的电子式转速里程表的设计 16. 基于GSM短信模块的家庭防盗报警系统 17. 公交车汉字显示系统 18. 基于单片机的智能火灾报警系统 19. WIN32环境下对PC机通用串行口通信的研究及实现 20. FIR数字滤波器的MATLAB设计与实现方法研究 21. 无刷直流电机数字控制系统的研究与设计 22. 直线电机方式的地铁模拟地铁系统制作 23. 稳压电源的设计与制作 24. 线性直流稳压电源的设计 25. 基于CPLD的步进电机控制器 26. 全自动汽车模型的设计制作 27. 单片机数字电压表的设计 28. 数字电压表的设计 29. 计算机比值控制系统研究与设计 30. 模拟量转换成为数字量的红外传输系统 31. 液位控制系统研究与设计 32. 基于89C2051 IC卡读/写器的设计 33. 基于单片机的居室安全报警系统设计 34. 模拟量转换成为数字量红外数据发射与接收系统 35. 有源功率因数校正及有源滤波技术的研究 36. 全自动立体停车场模拟系统的制作 37. 基于I2C总线气体检测系统的设计 38. 模拟量处理为数字量红外语音传输接收系统的设计 39. 精密VF转换器与MCS-51单片机的接口技术 40. 电话远程监控系统的研究与制作 41. 基于UCC3802的开关电源设计 42. 串级控制系统设计 43. 分立式生活环境表的研究与制作(多功能电子万年历) 44. 高效智能汽车调节器 45. 变速恒频风力发电控制系统的设计 46. 全自动汽车模型的制作 47. 信号源的设计与制作 48. 智能红外遥控暖风机设计 49. 基于单片控制的交流调速设计 50. 基于单片机的多点无线温度监控系统 51. 蔬菜公司恒温库微机监控系统 52. 数字触发提升机控制系统 53. 农业大棚温湿度自动检测 54. 无人监守点滴自动监控系统的设计 55. 积分式数字电压表设计 56. 智能豆浆机的设计 57. 采用单片机技术的脉冲频率测量设计 58. 基于DSP的FIR滤波器设计 59. 基于单片机实现汽车报警电路的设计 60. 多功能数字钟设计与制作 61. 超声波倒车雷达系统硬件设计 62. 基于AT89C51单片机的步进电机控制系统 63. 模拟电梯的制作 64. 基于单片机程控精密直流稳压电源的设计 65. 转速、电流双闭环直流调速系统设计 66. 噪音检测报警系统的设计与研究 67. 转速闭环(V-M)直流调速系统设计 68. 基于单片机的多功能函数信号发生器设计 69. 基于单片机的超声波液位测量系统的设计 70. 仓储用多点温湿度测量系统 71. 基于单片机的频率计设计 72. 基于DIMM嵌入式模块在智能设备开发中的应用 73. 基于DS18B20的多点温度巡回检测系统的设计 74. 计数及数码显示电路的设计制作 75. 矿井提升机装置的设计 76. 中频电源的设计 77. 数字PWM直流调速系统的设计 78. 开关电源的设计 79. 基于ARM的嵌入式温度控制系统的设计 80. 锅炉控制系统的研究与设计 81. 智能机器人的研究与设计 ——\u001F自动循轨和语音控制的实现 82. 基于CPLD的出租车计价器设计——软件设计 83. 声纳式高度计系统设计和研究 84. 集约型无绳多元心脉传感器研究与设计 85. CJ20-63交流接触器的工艺与工装 86. 六路抢答器设计 87. V-M双闭环不可逆直流调速系统设计 88. 机床润滑系统的设计 89. 塑壳式低压断路器设计 90. 直流接触器设计 91. SMT工艺流程及各流程分析介绍 92. 大棚温湿度自动控制系统 93. 基于单片机的短信收发系统设计 ――硬件设计 94. 三层电梯的单片机控制电路 95. 交通灯89C51控制电路设计 96. 基于D类放大器的可调开关电源的设计 97. 直流电动机的脉冲调速 98. 红外快速检测人体温度装置的设计与研制 99. 基于8051单片机的数字钟 100. 48V25A直流高频开关电源设计 101. 动力电池充电系统设计 102. 多电量采集系统的设计与实现 103. PWM及单片机在按摩机中的应用 104. IC卡预付费煤气表的设计 105. 基于单片机的电子音乐门铃的设计 106. 基于单片机的温湿度测量系统设计 107. 基于单片机的简易GPS定位信息显示系统设计 108. 基于单片机的简单数字采集系统设计 109. 大型抢答器设计 110. 新型出租车计价器控制电路的设计 111. 500kV麻黄线电磁环境影响计算分析 112. 单片机太阳能热水器测控仪的设计 113. LED点阵显示屏-软件设计 114. 双容液位串级控制系统的设计与研究 115. 三电平Buck直流变换器主电路的研究 116. 基于PROTEUS软件的实验板仿真 117. 基于16位单片机的串口数据采集 118. 电机学课程CAI课件开发 119. 单片机教学实验板——软件设计 120. PN结(二极管)温度传感器性能的实验研究 121. 微电脑时间控制器的软件设计 122. 基于单片机AT89S52的超声波测距仪的研制 123. 硼在TLP扩散连接中的作用机理研究 124. 多功能智能化温度测量仪设计 125. 电网系统对接地电阻的智能测量 126. 基于数字采样法的工频电参数测量系统的设计 127. 动平衡检测系统的设计 128. 非正弦条件下电参测量的研究 129. 频率测量新原理的研究 130. 基于LABVIEW的人体心率变异分析测量 131. 学校多功能厅音响系统的设计与实现 132. 利用数字电路实现电子密码锁 133. 矩形微带天线的设计 134. 简易逻辑仪的分析 135. 无线表决系统的设计 136. 110kV变电站及其配电系统的设计 137. 10KV变电所及低压配电系统设计 138. 35KV变电所及低压配电系统设计 139. 6KV配电系统及车间变电所设计 140. 交流接触器自动化生产流水线设计 141. 63A三极交流接触器设计 142. 100A交流接触器设计 143. CJ20—40交流接触器工艺及工装设计 144. JSS型数字式时间继电器设计 145. 半导体脱扣器的设计 146. 12A交流接触器设计 147. CJ20-100交流接触器装配线设计 148. 真空断路器的设计 149. 总线式智能PID控制仪 150. 自动售报机的设计 151. 小型户用风力发电机控制器设计 152. 断路器的设计 153. 基于MATLAB的水轮发电机调速系统仿真 154. 数控缠绕机树脂含量自控系统的设计 155. 软胶囊的单片机温度控制(硬件设计) 156. 空调温度控制单元的设计 157. 基于人工神经网络对谐波鉴幅 158. 基于单片机的鱼用投饵机自动控制系统的设计 159. 基于MATLAB的调压调速控制系统的仿真研究 160. 锅炉汽包水位控制系统 161. 基于单片机的无刷直流电机控制系统设计 162. 煤矿供电系统的保护设计——硬件电路的设计 163. 煤矿供电系统的保护设计——软件设计 164. 大容量电机的温度保护——软件设计 165. 大容量电机的温度保护 ——硬件电路的设计 166. 模块化机器人控制器设计 167. 电子式热分配表的设计开发 168. 中央冷却水温控制系统 169. 基于单片机的玻璃管加热控制系统设计 170. 基于AT89C51单片机的号音自动播放器设计 171. 基于单片机的普通铣床数控化设计 172. 基于AT89C51单片机的电源切换控制器的设计 173. 基于51单片机的液晶显示器设计 174. 手机电池性能检测 175. 自动门控制系统设计 176. 汽车侧滑测量系统的设计 177. 超声波测距仪的设计及其在倒车技术上的应用 178. 篮球比赛计时器设计 179. 基于单片机控制的红外防盗报警器的设计 180. 智能多路数据采集系统设计 181. 继电器保护毕业设计 182. 电力系统电压频率紧急控制装置研究 183. 用单片机控制的多功能门铃 184. 全氢煤气罩式炉的温度控制系统的研究与改造 185. 基于ATmega16单片机的高炉透气性监测仪表的设计 186. 基于MSP430的智能网络热量表 187. 火电厂石灰石湿法烟气脱硫的控制 188. 家用豆浆机全自动控制装置 189. 新型起倒靶控制系统的设计与实现 190. 软开关技术在变频器中的应用 191. 中频感应加热电源的设计 192. 智能小区无线防盗系统的设计 193. 智能脉搏记录仪系统 194. 直流开关稳压电源设计 195. 用单片机实现电话远程控制家用电器 196. 无线话筒制作 197. 温度检测与控制系统 198. 数字钟的设计 199. 汽车尾灯电路设计 200. 篮球比赛计时器的硬件设计 201. 公交车报站系统的设计 202. 频率合成器设计 203. 基于RS485总线的远程双向数据通信系统的设计 204. 宾馆客房环境检测系统 205. 智能充电器的设计与制作 206. 基于单片机的电阻炉温度控制系统设计 207. 单片机控制的PWM直流电机调速系统的设计 208. 遗传PID控制算法的研究 209. 模糊PID控制器的研究及应用 210. 楼宇自动化系统的设计与调试 211. 基于AT89C51单片机控制的双闭环直流调速系统设计212. 基于89C52的多通道采集卡的设计 213. 单片机自动找币机械手控制系统设计 214. 单片机控制PWM直流可逆调速系统设计 215. 单片机电阻炉温度控制系统设计 216. 步进电机实现的多轴运动控制系统 217. IC卡读写系统的单片机实现 218. 基于单片机的户式中央空调器温度测控系统设计 219. 基于单片机的乳粉包装称重控制系统设计 220. 18B20多路温度采集接口模块 221. 基于单片机防盗报警系统的设计 222. 基于MAX134与单片机的数字万用表设计 223. 数字式锁相环频率合成器的设计 224. 集中式干式变压器生产工艺控制器 225. 小型数字频率计的设计 226. 可编程稳压电源 227. 数字式超声波水位控制器的设计 228. 基于单片机的室温控制系统设计 229. 基于单片机的车载数字仪表的设计 230. 单片机的水温控制系统 231. 数字式人体脉搏仪的设计 232. I2C总线数据传输应用研究(硬件部分) 233. STV7697在显示驱动电路系统中的应用(软件设计)234. LED字符显示驱动电路(软件部分) 235. 智能恒压充电器设计 236. 基于单片机的定量物料自动配比系统 237. 现代发动机自诊断系统探讨 238. 基于单片机的液位检测 239. 基于单片机的水位控制系统设计 240. FFT在TMS320C54XDSP处理器上的实现 241. 基于模拟乘法器的音频数字功率设计 242. 正弦稳态电路功率的分析 243. 基于Multisim三相电路的仿真分析 244. 他励直流电动机串电阻分级启动虚拟实验 245. 并励直流电动机串电阻三级虚拟实验 246. 基于80C196MC交流调速实验系统软件的设计与开发 247. 基于VDMOS调速实验系统主电路模板的设计与开发 248. 基于Matlab的双闭环PWM直流调速虚拟实验系统 249. 基于IGBT-IPM的调速实验系统驱动模板的设计与开发 250. 基于87C196MC交流调速系统主电路软件的设计与开发 251. HEF4752为核心的交流调速系统控制电路模板的设计与开发 252. 基于87C196MC交流调速实验系统软件的设计与开发 253. 87C196MC单片机最小系统单路模板的设计与开发 254. MOSFET管型设计开关型稳压电源 255. 电子密码锁控制电路设计 256. 基于单片机的数字式温度计设计 257. 智能仪表用开关电源的设计 258. 遥控窗帘电路的设计 259. 双闭环直流晶闸管调速系统设计 260. 三路输出180W开关电源的设计 261. 多点温度数据采集系统的设计 262. 列车测速报警系统 263. PIC单片机在空调中的应用 264. 基于单片机的温度采集系统设计 265. 基于单片机89C52的啤酒发酵温控系统 266. 基于MCS-51单片机温控系统设计的电阻炉 267. 基于单片机的步进电机控制系统 268. 新颖低压万能断路器 269. 万年历可编程电子钟控电铃 270. 数字化波形发生器的设计 271. 高压脉冲开关电源 272. 基于MCS-96单片机的双向加力式电子天平 273. 语音控制小汽车控制系统设计 274. 智能型客车超载检测系统的设计 275. 热轧带钢卷取温度反馈控制器的设计 276. 直流机组电动机设计 277. 龙门刨床驱动系统的设计 278. 基于单片机的大棚温、湿度的检测系统 279. 微波自动门 280. 基于DS18B20温度传感器的数字温度计设计 281. 节能型电冰箱研究 282. 交流异步电动机变频调速设计 283. 基于单片机控制的PWM调速系统 284. 基于单片机的数字温度计的电路设计 285. 基于Atmel89系列芯片串行编程器设计 286. 基于单片机的实时时钟 287. 基于MCS-51通用开发平台设计 288. 基于MP3格式的单片机音乐播放系统 289. 基于单片机的IC卡智能水表控制系统设计 290. 基于MATLAB的FIR数字滤波器设计 291. 单片机水温控制系统 292. 110kV区域降压变电所电气系统的设计 293. ATMEIL AT89系列通用单片机编程器的设计 294. 基于单片机的金属探测器设计 295. 双闭环三相异步电动机串级调速系统 296. 基于单片机技术的自动停车器的设计 297. 单片机电器遥控器的设计 298. 自动剪板机单片机控制系统设计 299. 蓄电池性能测试仪设计 300. 电气控制线路的设计原则 301. 无线比例电机转速遥控器的设计 302. 简易数字电子称设计 303. 红外线立体声耳机设计 304. 单片机与PC串行通信设计 305. 100路数字抢答器设计 306. D类功率放大器设计 307. 铅酸蓄电池自动充电器 308. 数字温度测控仪的设计 309. 下棋定时钟设计 310. 温度测控仪设计 311. 数字频率计 312. 数字集成功率放大器整体电路设计 313. 数字电容表的设计 314. 数字冲击电流计设计 315. 数字超声波倒车测距仪设计 316. 路灯控制器 317. 扩音机的设计 318. 交直流自动量程数字电压表 319. 交通灯控制系统设计 320. 简易调频对讲机的设计 321. 峰值功率计的设计 322. 多路温度采集系统设计 323. 多点数字温度巡测仪设计 324. 电机遥控系统设计 325. 由TDA2030A构成的BTL功率放大器的设计 326. 超声波测距器设计 327. 4-15V直流电源设计 328. 家用对讲机的设计 329. 流速及转速电路的设计 330. 基于单片机的家电远程控制系统设计 331. 万年历的设计 332. 单片机与计算机USB接口通信 333. LCD数字式温度湿度测量计 334. 逆变电源设计 335. 基于单片机的电火箱调温器 336. 表面贴片技术SMT的广泛应用及前景 337. 中型电弧炉单片机控制系统设计 338. 中频淬火电气控制系统设计 339. 新型洗浴器设计 340. 新型电磁开水炉设计 341. 基于电流型逆变器的中频冶炼电气设计 342. 6KW电磁采暖炉电气设计 343. 64点温度监测与控制系统 344. 电力市场竞价软件设计 345. DS18B20温度检测控制 346. 步进电动机驱动器设计 347. 多通道数据采集记录系统 348. 单片机控制直流电动机调速系统 349. IGBT逆变电源的研究与设计 350. 软开关直流逆变电源研究与设计 351. 单片机电量测量与分析系统 352. 温湿度智能测控系统 353. 现场总线控制系统设计 354. 加热炉自动控制系统 355. 电容法构成的液位检测及控制装置 356. 基于CD4017电平显示器 357. 无线智能报警系统 358. 可编程的LED(16×64)点阵显示屏 359. 多路智力抢答器设计 360. 8×8LED点阵设计 361. 电子风压表设计 362. 智能定时闹钟设计 363. 数字音乐盒设计 364. 数字温度计设计 365. 数字定时闹钟设计 366. 数字电压表设计 367. 计算器模拟系统设计 368. 定时闹钟设计 369. 电子万年历设计 370. 电子闹钟设计 371. 单片机病房呼叫系统设计 372. 家庭智能紧急呼救系统的设计 373. 自动车库门的设计 374. 异步电动机功率因数控制系统的研究 375. 普通模拟示波器加装多功能智能装置的设计 376. 步进电机运行控制器的设计 377. 80C196MC控制的交流变频调速系统设计 378. 汽车防盗系统 379. 简易远程心电监护系统 380. 智能型充电器的电源和显示的设计 381. 电气设备的选择与校验 382. 论供电系统中短路电流及其计算 383. 论工厂的电气照明 384. 论无线通信技术热点及发展趋势 385. 浅论10KV供电系统的继电保护的设计方案 386. 试论供电系统中的导体和电器的选择 387. 大棚仓库温湿度自动控制系统 388. 自行车车速报警系统 389. 智能饮水机控制系统 390. 基于单片机的数字电压表设计 391. 多用定时器的电路设计与制作 392. 智能编码电控锁设计 393. 串联稳压电源的设计 394. 红外恒温控制器的设计与制作 395. 自行车里程,速度计的设计 396. 等精度频率计的设计 397. 浮点数运算FPGA实现 398. 人体健康监测系统设计 399. 基于单片机的音乐喷泉控制系统设计 400. 基于LabVIEW的虚拟频谱分析仪的研究与设计 401. 感应式门铃的设计与制作 402. 电子秤设计与制作 403. 电动车三段式充电器 404. SB140肖特基二极管制造与检测 405. SMT技术 406. 基于单片机的温度测量系统的设计 407. 龙门刨床的可逆直流调速系统的设计 408. 公交车站自动报站器的设计 409. 单片机波形记录器的设计 410. 音频信号分析仪 411. 基于单片机的机械通风控制器设计

留下你的邮箱,我发给你!

基于视频的人流量监测系统设计与实现 图像水印识别微信小程序设计与实现 基于重力传感器的飞机大战游戏开发 手机平台加减乘除口算训练游戏开发 基于Android平台的个人移动地图软件开发 面向多种数据源的爬虫系统的设计与实现 基于Zabbix的服务器监控系统的设计与实现 基于新浪微博的分布式爬虫以及对数据的可视化处理 基于分布式的新闻热点网络爬虫系统与设计 舆情分析可视化系统的设计与实现 基于大数据的用户画像的新闻APP设计 基于Android平台的语言翻译程序设计与实现 基于SSH的水电信息管理系统的设计与实现 基于SSM的学科竞赛管理系统

一、设计题目汽车尾灯控制电路设计二、设计任务假设汽车尾灯左右两侧各有三个指示灯(用发光二极管模拟),要求:汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁三、设计计划第1天:查资料,熟悉题目;第2天:提出初步方案;第3~4天:设计电路;第5天:编写设计说明书。四、设计要求1.画出整体电路图。 2. 写出设计说明书。3. 同组同学的的设计不能雷同。 4. 电路图中的图形必须本人亲自绘制 摘要 随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列的问题。比如,因为汽车的突然转向所引发的车祸经常出现。如果汽车转弯可以通过尾灯状态的变化来确定就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。本文是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由模式控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。关键字:模式控制电路;三进制计数器;J—K触发器;3—译码器; 综述随着社会的发展,科学技术也在不断的进步,现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据汽车运行状态的于汽车尾灯显示的关系,实现了对汽车尾灯显示状态的控制。根据汽车运行情况,指示灯具有4种不同的显示模式:1汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;2汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;3汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮;4汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。

汽车车灯设计毕业论文

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, VOL.17, No.l(1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,1999.70-78.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,2000.34-41.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层1.1,第三层1.1.1,第四层1.1.1.1的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

1.1 △△△△(条的标题,顶格,占一行)

1.1.1 △△△△(顶格,接正文)

1.1.2 △△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性的要求。因而,空调系统作为现代轿车基本配备,也就成为了必然。近年来,环保和能源问题成为世界关注的焦点,也成为影响汽车业发展的关键因素,各种替代能源动力车的出现,为汽车空调业提出了新的课题与挑战。自本世纪20年代汽车空调诞生以来,伴随汽车空调系统的普及与发展,汽车空调的发展大体上经历了五个阶段:单一取暖阶段、单一冷气阶段、冷暖一体化阶段、自动控制阶段、计算机控制阶段。空调的控制方法也经历了由简单到复杂,再由复杂到简单的过程。作为汽车空调系统的电路控制方面也再不段的更新改进,同时,我国汽车空调的安装随着汽车业的发展以达到100%的普及性,空调已成为现代汽车的一向基本配备。给汽车空调的使用与维修问题带来新的挑战。论文最后以汽车空调故障检修的方法,对汽车空调系统的再深入探讨,以达到对汽车空调系统的了解,并运用在实际工作中。关键词:汽车空调压缩机检修(一)汽车空调的过去与未来汽车空调是指对汽车座厢内的空气质量进行调节的装置。不管车外天气状况如何变化,它都能把车内的湿度、温度、流速、洁度保持在驾驶人员感觉舒适的范围内。最原始的汽车空调仅是开窗换气式。最早的汽车空调装置始于1927年,它仅由加热器、通风装置和空气过滤器三者组成,且只能对车室供暖。准确地讲,汽车空调的历史,应该从制冷技术应用在车上开始。20世纪30年代末期美国的几部公共汽车上装上了应用制冷技术的冷气装置。直到20世纪60年代,应用制冷技术的汽车空调才开始逐步地普及起来。以后,人们对汽车空调的兴趣逐年增加,汽车空调技术日趋完善,功能也越来越全面。它的发展大体上可以分为如下几个阶段:单一供暖空调装置阶段始于1927年,目前在寒冷的北欧,亚洲北部地区,汽车空调仍使用单一供暖系统。单一供冷空调装置阶段始于1939年,美国帕克汽车公司率先在轿车装上机械制冷降温空调器。目前单一降温的汽车空调仍在热带、亚热带部分地区使用。冷暖型汽车空调阶段始于1954年,原美国汽车公司,首先在轿车安装于冷暖一体化空调器,这样汽车空调才具备了降温、除湿、通风、过滤、除霜等空气的调节功能。该方式目前仍然大量的使用在低档车上,是目前使用量最大的一种方式。自控汽车空调装置阶段由于前述的冷暖型汽车空调需依靠人工调节,这既增加上司机的工作量,还使控制不理想。通用汽车公司1964年率先在轿车上应用自控汽车空调。自控空调只需预先设定温度装置,便能自动地在设定的温度范围内运行。装置根据传感器随时检测车外温度,自动地调制装置各部件工作,达到控制车外温度和行驶其他功能的目的。目前,大部分的中高级轿车,高级大客车都装备自控空调电脑控制汽车空调阶段自1977年美国通用汽车公司、日本五十铃汽车公司,同时将自行研制的电脑控制汽车空调系统装上各自的轿车上后,即预示着汽车空调技术已发展到一个新阶段。电脑控制的汽车空调功能增加,显示数字化,冷、暖、通风调控三位一体化。电脑按照车内外的环境所需,实现了调节的精细化。通过电脑控制实现了空调运行与汽车运行的协调,极大地提高了制冷效果,节约了燃料,从而提高了汽车的整体性能和舒适程度。目前电脑控制的空调都装上豪华型轿车上。(二)汽车空调的特点众所周知汽车空调是以采用发动机的动力为代价来完成调节车厢内空气环境的。了解汽车空调的特点,有利于进行汽车空调的使用和维修。与室内空调相比,汽车空调主要有如下特点:1.汽车空调安装在行驶的车辆上,承受着剧烈频繁的振动和冲击,因此,各部件应有足够的强度和抗振能力,接头应牢固并防漏。不然将会造成汽车空调制冷系统的泄露,结果破坏了整个空调系统的工作条件,严重的会损坏制冷系统的压缩机等部件。使用中要经常检查系统内制冷剂的多少,据统计,由于制冷剂的泄露而引起的空调故障约占全部故障的80%。2.汽车空调所需的动力均来自发动机。其中轿车、轻型汽车、中小型客车及工程机械,空调所需的动力和驱动汽车的动力均来自一台发动机。这空调称非独立空调系统。大型客车和豪华型大、中客车,由于所需制冷量和暖气量大,一般采用专用发动机驱动制冷压缩机和设立独立的取暖设备,故称之为独立式空调系统。虽然非独立空调系统会影响汽车的动了性,但它相对于独立空调,在设备成本、运行成本上都较经济。据测试,汽车安装了非独立式空调后,耗油量会增加10%到20%(与车速有关)。发动机输出功率减少10%到12%。3.汽车空调的特定工作环境要求汽车空调的制冷、制热能力尽可能的大。其原因如下:(1)夏天车内的乘客密度大,产热量大,热负荷高;冬天采暖人体所需的热量亦大。(2)为了减轻自重,汽车隔热层一般很薄,加上汽车门窗多,面积大,所以汽车隔热性差,热损大。(3)汽车的工作环境因在野外,直接受阳光、霜雪、风雨等的影响,环境变化剧烈。要使汽车空调在最短的时间里在车厢内达到舒适的环境,就要求其制冷量特别大。对非独立的空调系统来说,由于发动机工况频繁变化,所以制冷系统的制冷机变化大。比如发动机在高速和怠速运行时,转速相差10倍。这必然导致压缩机输送的制冷剂量变化极大。制冷剂流量变化大,轻者引起制冷效果不佳,重者引起压力过高,压缩机出现敲击现象,发生事故。因此,汽车空调制冷系统较室内复杂得多。(4)由于汽车本身的特点,要求汽车空调结构紧凑,质轻、量小,能在所有限的空间进行安装。目前空调的总比重比60年代下降了50%,而制冷能力却提高了50%。(5)汽车空调的供暖方式与室内空调完全不同。对于非独立式汽车空调,一般利用发动机的冷却水或废气余热,而室内空调则是利用一个电磁阀,改变制冷剂量,机组很快起动并转入稳定状况。(三)汽车空调的性能评价指标1.温度指标温度指标是指最重要的一个环节。人感到最舒服的温度是200C到280C,超过280C,人就会觉得燥热。超过400C,即为有害温度,会对人体健康造成损害。低于140C人就会觉得冷。当温度下降到00C时,会造成冻伤。因此,空调应用控制车内温度夏天在250C,冬天在180C,以保证驾驶员正常操作,防止发生事故,保证乘员在舒适的状况下旅行。2.湿度指标湿度的指标用相对湿度来表示。因为人觉得最舒适的相对湿度在50%--70%,所以汽车空调的湿度参数要控制在此范围内。3.空气的清新度由于空间小,乘员密度大,在密闭的空间内极易产生缺氧和二氧化碳浓度过高。汽车发动机废气中的一氧化碳和道路上的粉尖,野外有毒的花粉都容易进入车厢内,造成车内空气浑浊,影响驾驶人员身体健康。这样汽车空调必须具有对车内空气过滤的功能,以保证车内空气清新度。4.除霜功能由于有时汽车内外温度相差很大,会在玻璃上出现雾式霜,影响司机的视线,所以汽车空调必须有除霜功能。5.操作简单、容易、稳定。汽车空调必须作到不增加驾驶员的劳动强度,不影响驾驶员的视线的正常驾驶。第二章汽车空调的组成与原理(一)汽车空调的工作原理压缩机运转时,将蒸发器内产生的低温低压制冷剂蒸气吸入并压缩后,在高温高压(约700C,1471KPa)的状况下排出。这些气态蒸气流入冷凝器,并在此受到散热和冷却风扇的作用强制冷却到500C左右。这时,制冷剂由气态变为液态。被液化了的制冷剂,进入干燥器,除去了水和杂质后,流入膨胀阀。高压的液态制冷剂从膨胀阀的小空流出,变为低压雾状后流入蒸发器。雾状制冷剂在蒸发器内吸热汽化变为气态制冷剂,从而使蒸发器表面温度下降。从送风机出来的空气,不断流过蒸发器表面,被冷却后送进车厢内降温。气态制冷剂通过蒸发器后又重新被压缩机吸入,这样反复循环即可达到制冷目的。(二)汽车空调主要功能包括以下4大部分:制冷、制热、通风、除湿制冷系统原理:汽车空调的压缩机依靠汽车发动机的动力提供,汽车在怠速状态下打开空调制冷怠速会明显增大,油耗也会相应的增加,油耗增加的大小与环境温度有最直接的关系,环境温度高制冷剂膨胀的压力大,发动机驱动空调的消耗也相应加大,环境温度低油耗相应减少。制热系统原理:汽车空调制热与压缩机没有丝毫关系,制热的热源不是空调本身获取的,是由汽车的散热水箱(中控台下面的暖风机总成内的副水箱)提供,早晨在热车前空调吹出来的是冷风,待热车后空调热风源源不断的送出来,制热本身基本没有能量消耗,是利用汽车的余热完成的.但在冬季,为了提升水温,加大喷油量,也使耗油量增加。但是只是在启动初期,等发动机运转正常,就是利用发动机的散热来供暖了。(而有的柴油车由于水温上升慢,为了一发动车就能享受到暖风,所以在暖风机里面加有电热丝)。通风:通风分为内循环和外循环,使用内循环时车内空气基本不与外界交流,使用外循环时位于挡风玻璃下的新风口会将外界的空气源源不断的送进来,以保持车内空气的清新.除湿:空调制冷的过程就是除湿的过程,从制冷时产生的大量冷凝水就可以看出来了,在湿度较大的阴雨天气或是温差太大的时候车内的玻璃上容易起雾,打开空调驱雾就是一个除湿的过程。(三)汽车空调的组成汽车空调一般主要由压缩机、电控离合器、冷凝器、蒸发器、膨胀阀、贮液干燥器、管道、冷凝风扇等组成。汽车空调分高压管路和低压管路。1.电磁离合器在非独立式汽车空调制冷系统中,压缩机是由汽车主发动机驱动的。在需要时接通或切断发动机与压缩机之间的动力传递。另外,当压缩机过载时,它还能起到一定的保护作用。因此,通过控制电磁离合器的结合与分离,就可接通与断开压缩机。当空调开关接通时,电流通过电磁离合器的电磁线圈,电磁线圈产生电磁吸力,使压缩机的压力板与皮带轮结合,将发动机的扭矩传递给压缩机主轴,使压缩机主轴旋转。当断开空调开关时,电磁线圈的吸力消失。在弹簧作用下,压力板和皮带轮脱离,压缩机便停止工作。2.压缩机作用是使制冷剂完成从气态到液态的转变过程,达到制冷剂散热凝露的目的。同时在整个空调系统,压缩机还是管路内介质运转的压力源,没有它,系统不仅不制冷而且还失去了运行的动力。(1)用于汽车制冷系统的压缩机按运动型式可分为:往复活塞式曲轴连杆式径向活塞式轴向活塞式翘板式斜板式旋转式旋叶式圆形汽缸椭圆形汽缸转子式滚动活塞式三角转子式螺杆式涡旋式1)曲轴连杆式压缩机图(1)曲轴连杆式压缩机曲轴连杆式压缩机如图(1)它是一种应用较为广泛的制冷压缩机。压缩机的活塞在汽缸内不断地运动,改变了汽缸的容积,从而在制冷系统中起到了压缩和输送制冷剂的作用。压缩机的工作,可分为压缩、排气、膨胀、吸气等四个过程2)斜板式压缩机图(2)斜板式压缩机斜板式压缩机如图(2)它的润滑方式有两种,一种是采用强制润滑,用由主轴驱动的油泵供油到各润滑部位及轴封处。主要用于豪华型轿车或小型客车较大制冷量的压缩机。另一种是采用飞溅润滑,我国上海内燃机油泵厂生产的斜板式压缩机即是采用飞溅润滑。斜板式压缩机结构紧凑,效率高,性能可靠,因而适用于汽车空调。3)旋叶式压缩机图(3)旋叶式压缩机旋转叶片式压缩机如图(3)由于旋转叶片式压缩机的体积和重量可以做到很小,易于在狭小的发动机舱内进行布置,加之噪声和振动小以及容积效率高等优点,在汽车空调系统中也得到了一定的应用。但是旋转叶片式压缩机对加工精度要求很高,制造成本较高。4)滚动活塞式压缩机滚动活塞式压缩机具有质量小、体积小、零部件少、效率高、可靠性好以及适宜于大批量生产等优点。3.冷凝器汽车空调制冷系统中的冷凝器是一种由管子与散热片组合起来的热交换器。其作用是:将压缩机排出的高温、高压制冷剂蒸气进行冷却,使其凝结为高压制冷剂液体。汽车空调系统冷凝器均采用风冷式结构,其冷凝原理是:让外界空气强制通过冷凝器的散热片,将高温的制冷剂蒸气的热量带走,使之成为液态制冷剂。制冷剂蒸气所放出的热量,被周围空气带走,排到大气中。汽车空调系统冷凝器的结构形式主要有管片式、管带式和鳝片式三种。(1)管带式它是由多孔扁管与S形散热带焊接而成,如图12所示。管带式冷凝器的散热效果比管片式冷凝器好一些(一般可高10%左右〉,但工艺复杂,焊接难度大,且材料要求高。一般用在小型汽车的制冷装置上。(2)鳝片式它是在扁平的多通管道表面直接锐出鳝片状散热片,然后装配成冷凝器,如图13所示。由于散热鳝片与管子为一个整体,因而不存在接触热阻,故散热性能好;另外,管、片之间无需复杂的焊接工艺,加工性好,节省材料,而且抗振性也特别好。所以,是目前较先进的汽车空调冷凝器。4.蒸发器也是一种热交换器,也称冷却器,是制冷循环中获得冷气的直接器件。其作用是将来自热力膨胀阀的低温、低压液态制冷剂在其管道中蒸发,使蒸发器和周围空气的温度降低。同时对空气起减湿作用。5.膨胀阀膨胀阀也称节流阀,是组成汽车空调制冷系统的主要部件,安装在蒸发器入口处,是汽车空调制冷系统的高压与低压的分界点。其功用是:把来自贮液干燥器的高压液态制冷剂节流减压,调节和控制进入蒸发器中的液态制冷剂量,使之适应制冷负荷的变化,同时可防止压缩机发生液击现象(即未蒸发的液态制冷剂进入压缩机后被压缩,极易引起压缩机阀片的损坏)和蒸发器出口蒸气异常过热。6.贮液干燥器贮液干燥器简称贮液器。安装在冷凝器和膨胀阀之间,如图20所示,其作用是临时贮存从冷凝器流出的液态制冷剂,以便制冷负荷变动和系统中有微漏时,能及时补充和调整供给热力膨胀阀的液态制冷剂量,以保证制冷剂流动的连续和稳定性。同时,可防止过多的液态制冷剂贮存在冷凝器里,使冷凝器的传热面积减少而使散热效率降低。而且,还可滤除制冷剂中的杂质,吸收制冷剂中的水分,以防止制冷系统管路脏堵和冰塞,保护设备部件不受侵蚀,从而保证制冷系统的正常工作。贮液器出口端旁边装有一只安全熔塞,也称易熔螺塞,它是制冷系统的一种安全保护装置。其中心有一轴向通孔,孔内装填有焊锡之类的易熔材料,这些易熔材料的熔点一般为85℃-95℃。7.孔管孔管是固定孔口节流装置。两端都装有滤网,以防止系统堵塞。和膨胀阀一样,孔管也装在系统高压侧,但是取消了贮液干燥器,因为孔管直接连通冷凝器出口和蒸发器进口。孔管不能改变制冷剂流量,液态制冷剂有可能流出蒸发器出口。因此,装有孔管的系统,必须同时在蒸发器出口和压缩机进口之间,安装一个积累器,实行气液分离,以防液击压缩机。孔管是一根细钢管,它装在一根塑料套管内。在塑料套管外环形槽内,装有密封圈。有的还有两个外环形槽,每槽各装一个密封圈。把塑料套管连同孔管都插入蒸发器进口管中,密封圈就是密封塑料套管外径和蒸发器进口管内径间的配合间隙用的。安装使用后,系统内的污染物集聚在密封圈后面,使堵塞情况更加恶化。就是这种系统内的污染物,堵塞了孔管及其滤网。这种孔管不能修,如需维护,只能清理滤网。坏了只有更换,孔管内孔的积垢,也不能清理。8.积累器用孔管代替膨胀阀时,汽车空调制冷系统要在低压侧安装积累器。积累器是一种特殊形式的贮液干燥器,用于回气管路中的气液分离,滤网设计有特殊要求,只许润滑油从中通过,而不允许液态制冷剂从中通过。使用孔管的汽车空调制冷系统,总是存在一种可能性:制冷剂离开蒸发器时,还是液体。为了防止液态制冷剂损坏压缩机,必须在蒸发器出口和压缩机进口之间设置积累器,以防止液态制冷剂通过。液态制冷剂在积累器中蒸发,然后以气态形式进入压缩机。9.风机汽车空调制冷系统采用的风机,大部分是靠电机带动的气体输送机械,它对空气进行较小的增压,以便将冷空气送到所需要的车室内,或将冷凝器四周的热空气吹到车外,因而风机在空调制冷系统中是十分重要的设备。风机按其气体流向与风机主轴的相互关系,可分为离心式风机和轴流式风机两种。10.电磁旁通阀电磁旁通阀多用于大、中型客车的独立式空调制冷系统,其作用是控制蒸发器的蒸发压力和蒸发温度,防止蒸发器因温度过低而结霜。电磁旁通阀一般安装在贮液干燥器与压缩机吸入阀之间。11.主轴油封主轴油封损坏,会引起雪种和润滑油泄漏。一般可以从有关的油迹来确定泄漏的地方。也可将压缩机拆下,浸入水中,以进出、口不没入水中为度。将排气口堵住,再从进气口加气压。从有关冒气泡的地方很容易确诊是不是主轴油封泄漏。(四)汽车空调系统分类(按动力源分)1.独立式空调:有专门的动力源(如第二台内燃机)驱动整个空调系统的运行。一般用于长途货运、高地板大中巴等车上。独立式空调由于需要两台发动机,燃油消耗高,同时造成较高的成本,并且其维修及维护十分困难,需要十分熟练的发动机维修人员,而且发动机配件不易获得,尤其是进口发动机;另外设计和安装更容易导致系统质量问题的发生,而额外的驱动发动机更增加了发生故障的概率。2.非独立式空调:直接利用汽车的行驶动力(发动机)来运转的空调系统。非独立式空调由主发动机带动压缩机运转,并由电磁离合器进行控制。接通电源时,离合器断开,压缩机停机,从而调节冷气的供给,达到控制车厢内温度的目的。其优点是结构简单、便于安装布置、噪音小。由于需要消耗主发动机10%-15%的动力,直接影响汽车的加速性能和爬坡能力。同时其制冷量受汽车行驶速度影响,如果汽车停止运行,其空调系统也停止运行。尽管如此,非独立式空调由于其较低的成本(相对独立式空调),已逐渐成为市场的主导产品。目前,绝大部分轿车、面包车、小巴都使用这种空调。(五)汽车自动空调系统汽车自动空调系统指的是根据设置在车内外的各种温度传感器的输出信号,由ECU中的微机进行平衡温度的演算,对进气转换风扇、送气转换风门、混合风门、水阀、加热继电器、压缩机和鼓风机等进行自动控制,按照乘客的要求,使车厢内的温度和温度等小气候保持在使人体感觉最舒适的状态。自动空调控制系统的传感器一般有车厢内温度传感器、车厢外温度传感器、蒸发器温度传感器、太阳能传感器、水温传感器等。其中水温传感器位于发动机出水口,它将冷却水温度反馈至ECU,当水温过高时ECU能够断开压缩机离合器而保护发动机,同时也使ECU依据水温控制冷却水通往加热芯的阀门。各个传感器将温度信息反馈到ECU,ECU通过“混合风档”的冷暖风比例而控制空气流的温度,例如当温度过低时ECU指令冷气流经加热芯升温,当温度过高时则增大冷气,当车厢内温度达到预定值时,ECU会发出指令停止“混合风档”伺服电动机运转。同时,ECU还通过“方式风档”伺服电动机控制气流流向,确定出风口的吹风角度。第三章汽车空调的检修一、汽车空调检修的基本工具1.修理空调器的常用工具(1)活板手(2)开口扳手(3)套筒扳手(4)内六角扳手(5)钢丝钳(6)尖嘴钳(7)十字螺丝刀(8)一字螺丝刀(9)锉刀:圆(10)手弓钢锯(11)手枪钻(12)钻头(13)冲击钻(14)刀子(15)剪刀(16)锤子:铁锤、木锤、橡皮锤各1把(17)卡钳(18)小镜子(19)钢卷尺(20)酒精灯(21)温度计(22)电烙铁(23)万用表(24)低压测电笔2.维修用大设备(1)真空泵:一般选用排气量为2L/s,真空度达到5×10-4mmHg的真空泵;(2)气焊设备:氧气瓶、乙炔瓶、减压阀、乙炔单向阀及配套输气管及焊具共1套;(3)电焊设备:电焊机、输入和输出电缆线、焊把及2.5mm、3.5mm焊条共1套;(4)制冷器钢瓶:用来存放制冷剂,一般选用3kg~40kg不等,按实定;(5)定量加液器:可以准确地比空调器充注制冷剂1套;(6)台秤:以确保小钢瓶的充灌制冷剂不超过额定量,避免意外发生1台;(7)氮气瓶:存放氮气,可对空调器进行试压、检漏,以及对制冷系统进行冲洗1套及配套;(8)卤素检漏灯或电子卤素检漏仪:对制冷系统进行检漏1套;(9)兆欧表:测导线绝缘程度500V直流的1套;(10)数字温度表:1套测量空调器的进、出风温度;(11)功率表:测量空调器的输入功率1套;(12)可移动配电盘:供维修接临时电源用;3.维修专用工具(1)胀管器和扩口器:1套(2)割管刀:切割铜管1套(3)弯管器:滚轮式弯管器和弹簧管式弯管器各1套(4)修理阀:三通修理阀或复式修理阀1套(常用)(5)封口钳:将压缩机充气管封死,然后才可以焊封充气管1套(6)力矩扳手:空调配管之间的连接螺母一定要用相应的力矩扳手来坚固(7)电动空心钻:用以打墙孔(小孔径可用冲击钻)、钻头选用70mm、80mm两种规格二、汽车空调制冷系统检修的基本操作1.制冷系统工作压力的检测(1)将歧管压力计正确连接到制冷系统相应的检修阀上,如果手动阀,应使阀处于中位。(2)关闭歧管压力计上的两个手动阀。(3)用手拧紧歧管压力计上的高低压注入软管的联接螺母,让系统内侧的制冷剂将高低压注入软管内的空气排出,然后再将联接螺母拧紧。(4)起动发动机并使发动机转速保持在1000~1500r/min,然后打开空调A/C开关和鼓风机开关,设置到空调最大制冷状态,鼓风机高速运转,温度调节在最冷。(5)关闭车门、车窗和舱盖,发动机预热。(6)把温度计插进中间出风口并观察空气温度,在外界温度为270C时,运行5min后出风口温度应接近70C.(7)观察高低压侧压力,压缩机的吸气压力应为207pa~24kpa,排气压力应为1103~1633kpa。应注意,外界高温高湿将造成高温高压的条件。如果离合器工作,在离合器分离之前记录下数值。2.从制冷系统内放出制冷剂具体方法如下(1)关闭歧管压力计上的手动高低压阀,并将其高低压软管分别接在压缩机高低压检修阀上,将中间软管的自由端放在干净的软布上。(2)慢慢打开手动高压阀,让制冷剂从中间软布上排出,阀门不能开的太大,否则压缩机内的冷冻油会随制冷剂流出。(3)当压力表读数降到0.35Mpa以下时,再慢慢打开手动低压阀,使制冷剂从高低两侧流出。(4)观察压力表读数,随着压力的下降,逐渐打开手动高低压阀,直至低压表读数到零为止。3.制冷剂充注程序抽真空作业从高压侧充注200g液态制冷剂第四章总结随着我国汽车工业的高速发展,作为汽车技术现代化标志之一的汽车空调技术在我国蓬勃发展。汽车空调大大改善了乘坐环境,提高了成员的舒适性。近年来,各种完善的多功能型空调装置的应用,受到用户的普遍欢迎。但对于汽车空调维修人员来说将面临新的挑战!本论文对汽车空调的原理、结构以及必备的工具等知识做了一般性的介绍。重点对修理、维护做了详尽的介绍。这样做的原因,主要是考虑本论文所面对是汽车空调维修人员,并由此希望能帮助学习动手解决一般汽车空调故障的技能。第五章参考文献【1】冯玉琪《实用空调制冷设备维修大全》电子工业出版社1994【2】张蕾《汽车空调》机械工业出版社2007【3】夏云铧齐红《汽车空调应用与维修—从入门到精通》机械工业出版社

电动汽车灯光控制系统毕业论文

交通灯智能控制系统设计1.概述 当前,在世界范围内,一个以微电子技术,计算机和通信技术为先导的,以信息技术和信息产业为中心的信息革命方兴未艾。而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。2.过程分析 图1是一个十字路口示意图。分别用1、2、3、4表明四个流向的主车道,用A、B、C、P分别表示各主车道的左行车道、直行车道、右行车道以及人行道。用a、b、c、p分别表示左转、直行、右转和人行道的交通信号灯,如图2所示。交通灯闪亮的过程:路口1的车直行时的所有指示灯情况为:3a3b2p绿3c红+4a4b4c 3p全红+1c 绿1a1b4p红+2c绿2a2b1p红路口2的车直行时的所有指示灯情况为:4a4b3p绿4c红+ 1a1b1c 4p全红+ 2c绿2a2b1p红+3c绿3a3b2p红故路口3的车直行时的所有指示灯情况为:1a1b4p绿1c红+ 2a2b2c 1p全红+3c绿 3a3b2p红+4c 绿4a4b3p红故路口4的车直行时的所有指示灯情况为:2a2b1p绿2c红+3c3a3b2p全红+4c绿4a4b3p红+1c绿1a1b4p红 图1:十字路口交通示意图 图2:十字路口通行顺序示意图 图3:十字路口交通指示灯示意图 图4:交通灯控制系统硬件框图 3、硬件设计 本系统硬件上采用AT89C52单片机和可编程并行接口芯片8155,分别控制图2所示的四个组合。AT89C52单片机具有MCS-51内核,片内有8KB Flash、256字节RAM、6个中断源、1个串行口、最高工作频率可达24MHz,完全可以满足本系统的需要 ;与其他控制方法相比,所用器件可以说是比较简单经济的。硬件框图如下: 电路原理图 [PDF]4、软件流程图 图5:交通灯控制系统流程图 5、交通灯控制系统软件 ORG 0000H LJMP MAIN ORG 0100HMAIN: MOV SP,#60H; LCALL DIR ;调用日期、时间显示子程序LOOP: MOV P1,#0FFH LJMP TEST LCALL ROAD1 ;路口1的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 MOV P1,#0FFH ;恢复P1口高电平 LCALL RESET ;恢复8155各口为高电平 LCALL YELLOW1 ;路口1的车直行-->路口2的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口 LCALL ROAD2 ;路口2的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW2 ;路口2的车直行-->路口3的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL ROAD3 ;路口3的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 LCALL RESET ;恢复8155A 、B口为高电? MOV P1,#0FFH ;恢复P1口高电平 LCALL YELLOW3 ;路口3的车直行-->路口4的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 LCALL RESET ;恢复8155各口为高电平 MOV P1,#0FFH ;恢复P1口高电平 LJMP TEST LCALL ROAD4 ;路口4的车直行时各路口灯亮情况 LCALL DLY30s ;延时30秒 SETB P1.5 ;恢复P1.5高电平 SETB P1.4 ;恢复P1.4高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LCALL YELLOW4 ;路口4的车直行-->路口1的车直行黄灯亮情况 LCALL DLY5s ;延时5秒 SETB P1.6 ;恢复P1.6高电平 SETB P1.3 ;恢复P1.3高电平 MOV DPTR,#0FFFFH ;恢复8155各口为高电平 LJMP LOOP;路口1的车直行时各路口灯亮情况3a3b2p绿3c红+4a4b4c3p全红+1c绿1a1b4p红+2c绿2a2b1p红ROAD1: MOV DPTR,#7F00H ;置8155命令口地址;无关位为1) MOV A,#03H ;A口、B口输出,A口、B口为基本输入输出方式 MOVX @DPTR,A ;写入工作方式控制字 INC DPTR ;指向A口 MOV A,#79H ;1a1b4p红1c绿2a2b1p红 MOVX @DPTR,A INC DPTR ;指向B口 MOV A,#0E6H ;3a3b2p绿3c红4a4b3p红 MOVX @DPTR,A MOV P1,#0DEH ;4c红2c绿 RET 6、结语 本系统结构简单,操作方便;可现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。6、参考资料 [1]韩太林,李红,于林韬;单片机原理及应用(第3版)。电子工业出版社,2005 [2]刘乐善,欧阳星明,刘学清;微型计算机接口技术及应用。华中理工大学出版社,2003 [3]胡汉才;单片机原理及其接口技术。清华大学出版社,2000 返回首页关闭本窗口

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过 0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

Micrologix1000 PLC在交通灯控制上的应用 PLC技术及其在公路交通系统中的应用 用PLC实现智能交通控制 1 引言 据不完全统计,目前我国城市里的十字路口交通系统大都采用定时来控制(不排除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必然产生如下弊端:当某条路段的车流量很大时却要等待红灯,而此时另一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况进行实时监控所造成的,不仅让司机乘客怨声载道,而且对人力和物力资源也是一种浪费。 智能控制交通系统是目前研究的方向,也已经取得不少成果,在少数几个先进国家已采用智能方式来控制交通信号,其中主要运用GPS全球定位系统等。出于便捷和效果的综合考虑,我们可用如下方案来控制交通路况:制作传感器探测车辆数量来控制交通灯的时长。具体如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车经过时就会产生涡流损耗,环状绝缘电线的电感开始减少,即可检测出汽车的通过,并将这一信号转换为标准脉冲信号作为可编程控制器的控制输入,并用PLC计数,按一定控制规律自动调节红绿灯的时长。 比较传统的定时交通灯控制与智能交通灯控制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低。

  • 索引序列
  • 汽车尾灯控制设计毕业论文
  • 汽车尾灯控制电路设计论文答辩
  • 汽车尾灯电路设计毕业论文
  • 汽车车灯设计毕业论文
  • 电动汽车灯光控制系统毕业论文
  • 返回顶部