首页 > 学术发表知识库 > 应用电子系闹钟毕业论文

应用电子系闹钟毕业论文

发布时间:

应用电子系闹钟毕业论文

Computers have accelerated the transformation of the world's human pace, but it is after all bulky. SCM in this case was born. As of today, SCM application rapid development of technology, looking around us now in all spheres of life, from missiles, navigation equipment, to the various instruments on the aircraft control from a computer network communications and data transmission, industrial automation to real-time process control and data processing, and our lives extensive use of the smart card, electronic pets, which is inseparable from the microcontroller. Monolithic single-chip micro-computer or computer. (Single-Chip Microcomputer) is the set of CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. Its small size, low cost, high performance, which are widely used in smart industries, and industrial automation. And 51 Series SCM SCM is the most typical and the most representative one. The graduation design Through the study, and thereby achieve the study, design, development hardware and software capabilities. Based on a microcontroller based on the will to achieve timing, stopwatch, calendar, alarm clocks, and other functions of a multi-functional electronic clock design study, in detail, the computer application of data conversion, Principle LCD, keyboard scanning principle, SCM regularly interrupted principle. Thereby achieve studying and understanding the relevant directives SCM in all aspects of the application. By AT89S52 system, temperature detection chip, the clock chips, liquid crystal displays, memory, Regulators and electricity supply of components, to achieve clock calendar display function can be carried out, hours seconds of the show and real-time temperature display. Also calculated with the calendar and clock, calendar calibration, regular hours and set the alarm function. The article attached circuit diagram, the PCB plans and procedures checklist for the reference of our readers. Due to limited, and it will inevitably be lighter inadequate, locations will give teachers and students correction and criticism. Keywords : stopwatch, alarm clock, calendar time

我是电子专业的毕业论文(设计)昨天才写好,key words:单片机 传感器 嵌入式 GSM Internet 客户端 服务器近三万字 要的QQ

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

数字电路毕业设计 ·多路智能报警器设计·电子密码锁设计·路灯的节能控制·±5V直流稳压电源的设计·病房呼叫系统·四路数字抢答器设计·全集成电路高保真扩音机·电容测量电路的设计·双输出可调稳压电源的设计·小型触摸式防盗报警器·数字自动打铃系统·防盗报警器·线性直流稳压电源的设计·稳压电源的设计与制作·数字电压表的设计·声控报警器毕业设计论文·数字频率计毕业设计论文·智能抢答器设计·集成功率放大电路的设计·宽带视频放大电路的设计 毕业设计·串联稳压电源的设计·智能饮水机控制系统·蓄电池性能测试仪设计·篮球比赛计时器的硬件设计·直流开关稳压电源设计·智能脉搏记录仪系统·48V25A直流高频开关电源设计·直流电动机的脉冲调速·基于D类放大器的可调开关电源的设计·CJ20-63交流接触器的工艺与工装

电子闹钟毕业论文

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

目录摘 要 IAbstract II目录 III第一章 绪 论 - 1 -1.1课题的背景 - 1 -1.2课题意义 - 2 -1.3本章小结 - 3 -第二章 总体设计方案与论证 - 4 -2.1 电源模块方案的选择与论证 - 4 -2.2 时钟电路方案的选择与论证 - 4 -2.3 显示电路方案的选择与论证 - 5 -2.4 闹钟电路方案的选择与论证 - 5 -2.5 键扫描电路方案的选择与论证 - 6 -2.6 本章小结 - 6 -第三章 系统硬件设计 - 7 -3.1 主控芯片STC89C52的介绍 - 7 -3.1.1 STC89C52的主要性能参数 - 7 -3.1.2 STC89C52单片机的功能特性概述: - 8 -3.2时钟部分功能介绍及电路设计 - 11 -3.3显示模块功能介绍及电路设计 - 14 -3.4闹钟电路模块介绍及电路设计 - 16 -3.5功能按键模块介绍及电路设计 - 17 -3.6电源模块介绍及电路设计 - 17 -3.7 本章小结 - 18 -第四章 系统软件设计 - 19 -4.1日历程序设计 - 19 -4.2 时间调整程序设计 - 20 -4.3 闹钟设置程序设计 - 22 -4.4 闹钟蜂鸣程序设计 - 23 -4.5本章小结 - 23 -第五章 系统调试 - 24 -5.1系统的调试 - 24 -5.2系统的调试出现的问题及解决 - 24 -5.3本章小结 - 24 -第六章 结 论 - 25 -参考文献 - 26 -致 谢 - 27 -附录 - 28 -附录一 :本设计电路原理图 - 28 -附录二:数字日历钟电路设计的C程序 - 29 -

1. EDA教学实验设计实例——电子秒表电路的设计 被引次数:1次 艾明晶 金惠华 文献来自:仪器仪表学报 2001年 第S2期 MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。◆图4图5图6图7简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?... 7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时 ... 8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 ... 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 ... 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能 ... 希望以上资料对你有帮助!

MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能希望以上资料对你有帮助.

电子闹钟毕业论文免费下载

摘要 第一章绪论 第二章数字时钟简介 1.1振荡器 1.2分频器电路 1.3计数器 1.4 译码显示电路 1.5校时电路 1.6报时电路 第三章设计步骤与方法 3.1振荡电路 3.2分频器电路 3.3计数器 3.3.1计数器六十进制的接法 3.3.2二十四进制计数器的接法 3.4译码显示电路 3.5校时电路 3.6整点报时电路 3.6.1控制门电路部分 3.6.2音响电路部分 第四章组装与调试 4.1接通电源逐步调试 4.2按顺序对电路连线和调试 总结 致 谢 参考文献

没邮箱怎么发

目录摘 要 IAbstract II目录 III第一章 绪 论 - 1 -1.1课题的背景 - 1 -1.2课题意义 - 2 -1.3本章小结 - 3 -第二章 总体设计方案与论证 - 4 -2.1 电源模块方案的选择与论证 - 4 -2.2 时钟电路方案的选择与论证 - 4 -2.3 显示电路方案的选择与论证 - 5 -2.4 闹钟电路方案的选择与论证 - 5 -2.5 键扫描电路方案的选择与论证 - 6 -2.6 本章小结 - 6 -第三章 系统硬件设计 - 7 -3.1 主控芯片STC89C52的介绍 - 7 -3.1.1 STC89C52的主要性能参数 - 7 -3.1.2 STC89C52单片机的功能特性概述: - 8 -3.2时钟部分功能介绍及电路设计 - 11 -3.3显示模块功能介绍及电路设计 - 14 -3.4闹钟电路模块介绍及电路设计 - 16 -3.5功能按键模块介绍及电路设计 - 17 -3.6电源模块介绍及电路设计 - 17 -3.7 本章小结 - 18 -第四章 系统软件设计 - 19 -4.1日历程序设计 - 19 -4.2 时间调整程序设计 - 20 -4.3 闹钟设置程序设计 - 22 -4.4 闹钟蜂鸣程序设计 - 23 -4.5本章小结 - 23 -第五章 系统调试 - 24 -5.1系统的调试 - 24 -5.2系统的调试出现的问题及解决 - 24 -5.3本章小结 - 24 -第六章 结 论 - 25 -参考文献 - 26 -致 谢 - 27 -附录 - 28 -附录一 :本设计电路原理图 - 28 -附录二:数字日历钟电路设计的C程序 - 29 -

简易电子闹钟的设计毕业论文报告

MAX+PLUS开发系统本文详细介绍了一个 EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想 ,对电子秒表电路的核心芯片——计时控制芯片进行设计 ,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种 EDA软件平台——美国 Altera公司的 M ... 2. EDA教学实验设计实例——电子秒表电路的设计 艾明晶 金惠华 文献来自:中国仪器仪表学会第三届青年学术会议论文集(下) 2001年 第总第期 北京 100083本文详细介绍了一个EDA教学实验的设计实例——电子秒表电路的设计。作者采用顶层图形设计的思想,对电子秒表电路的核心芯片——计时控制芯片进行设计,并介绍了在设计中所解决的各个关键问题。本文使用目前流行的一种EDA软件平台——美国Altera公司的MAX+PL ... 3. 风扇电子定时器设计一例 仇德明 潘裕明 文献来自:家电科技 1987年 第03期 秒表:金雀电子秒表,上海手 表五厂产 现将以上5个样机试验结果进行分析,以便对本电路按理论计算式所得的定时时间T的置信度作一讨论:由于影响T的因素较多,如电容漏电流的离散性、不稳定性、门电路闭值电平vT。的差异 ... 本文介绍一种以数字电路为主兼顾成本与质量两者关系的三小时电子定时电路,具有一定的实用性。 ... 4. 实用多功能电子时钟设计 被引次数:1次 翟玉文 徐宏亮 艾学忠 王庆伟 赵岩 文献来自:吉林化工学院学报 2001年 第01期 通过按键可进行电子时钟与电子秒表功能的切换 ,可对电子时钟的显示内容、时间对时、闹钟定时等功能进行设定和对电子秒表开始计时、暂?... 动态显示介绍一种以AT89C5 1单片机为核心的实用多功能电子时钟设计 .该时钟具有年、月、日、星期、时、分、秒显示和整点音乐报时及定时闹钟等功能 ,也可作电子秒表使?... 5. 数字秒表的实验设计 邹华 文献来自:潍坊教育学院学报 1997年 第01期 、(图二J这样整个数字秒表就设计出来了。从电路图上可以看出,所用器件都比较简单,除有一定实用价值外,作为一个学生实验来做,既可系统地巩固所学知识,又有利于理论联系实际,实践证明,效果很好。39数字秒表的实验设计@邹华<正>秒表是一种 ... 6. 简易电子钟的设计 王韧 俞斌 文献来自:电子世界 2005年 第07期 仅通过程序设计,即可为电子钟增加年、月、日、星期以及闹铃、秒表等功能。简易电子钟的设计@王韧$湖南工学院电气与信息工程系 @俞斌$湖南工学院电气与信息工程?7. 用电子秒表取代打点计时器 朱成标 文献来自:物理实验 1995年 第03期 连接外接微动开关的引线aa'与bb'和电子秒表的连接方法如图2所示.aa'与相连的开关ANI、KZ相当于电子秒表按钮M,对电子秒表有复位/中间计时的控制作用 ... 电子秒表即可获得相应的计时控制信号.二、电子秒表的实验计时方法电子秒表用于实验计时有三种计时方法,即同步计时、中途一次计时、中途二物理实验第15卷第8期次计时8. 语音智能电子体温计设计 支长义 程志平 焦留成 文献来自:微计算机信息 2007年 第07期 450002河南郑州$郑州大学电气工程学院根据设计要求,以SPCE061A新型单片机为基础,通过对温度采样信号分析研究,给出了语音智能电子体温计设计电路,测试结果表明,该电路较为理想。SPCE061A单片机 9. 电子秒表自动计时的研究 谢志堃 文献来自:绍兴文理学院学报 2004年 第10期 并用这个信号去控制电子秒表的触发端,以实现电子秒表自动起、停的计时功能.1电子秒表的自动计时研究 电子秒表具有分段计时的功能,因此可以用来测量运动物体经过某段距离的时间间隔 通过对电子秒表的研究发现,从电子秒表的触发方式来看,只需对计时触发端提供一个电压就可以对电子秒表加以控制,实现自动计时的功能希望以上资料对你有帮助.

目录摘 要 IAbstract II目录 III第一章 绪 论 - 1 -1.1课题的背景 - 1 -1.2课题意义 - 2 -1.3本章小结 - 3 -第二章 总体设计方案与论证 - 4 -2.1 电源模块方案的选择与论证 - 4 -2.2 时钟电路方案的选择与论证 - 4 -2.3 显示电路方案的选择与论证 - 5 -2.4 闹钟电路方案的选择与论证 - 5 -2.5 键扫描电路方案的选择与论证 - 6 -2.6 本章小结 - 6 -第三章 系统硬件设计 - 7 -3.1 主控芯片STC89C52的介绍 - 7 -3.1.1 STC89C52的主要性能参数 - 7 -3.1.2 STC89C52单片机的功能特性概述: - 8 -3.2时钟部分功能介绍及电路设计 - 11 -3.3显示模块功能介绍及电路设计 - 14 -3.4闹钟电路模块介绍及电路设计 - 16 -3.5功能按键模块介绍及电路设计 - 17 -3.6电源模块介绍及电路设计 - 17 -3.7 本章小结 - 18 -第四章 系统软件设计 - 19 -4.1日历程序设计 - 19 -4.2 时间调整程序设计 - 20 -4.3 闹钟设置程序设计 - 22 -4.4 闹钟蜂鸣程序设计 - 23 -4.5本章小结 - 23 -第五章 系统调试 - 24 -5.1系统的调试 - 24 -5.2系统的调试出现的问题及解决 - 24 -5.3本章小结 - 24 -第六章 结 论 - 25 -参考文献 - 26 -致 谢 - 27 -附录 - 28 -附录一 :本设计电路原理图 - 28 -附录二:数字日历钟电路设计的C程序 - 29 -

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

貌似很简单 可惜不会做

电子时钟系统毕业论文设计

电子钟相关毕业设计 ·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟设计 (字数:4094,页数:19 )·定时闹钟设计 (字数:5714,页数:24 )·智能定时闹钟设计 (字数:3826,页数:18 )·下棋定时钟设计 (字数:5290,页数:24 )·多功能数字钟设计与制作 (字数:13129,页数:34)·基于单片机的电子钟设计 (字数:7710,页数:24 )·基于单片机的数字电子钟设计 (字数:10301,页数:42)·基于Labview的虚拟数字钟设计 (字数:17457,页数:32)·电子日历钟 (字数:10677,页数:33)·数字钟的设计与制作 (字数:4922,页数:23 )·单片机数字钟设计 (字数:15355,页数:47)·基于单片机的数字钟设计 (字数:12541,页数:27)·单片机定时闹钟设计 (字数:8450,页数:24 )·万年历可编程电子钟控电铃 (字数:14371.页数:41)·数字定时闹钟设计 (字数:7770,页数:28 )·基于EDA技术的数字电子钟设计 (字数:12247,页数:32)·多功能时钟打点系统设计 (字数:8353,页数:31 )·智能音乐闹钟设计 (字数:10002,页数:37)·基于AT89S51单片机的数字电子钟设计 (字数:14560,页数:39)

我有现成的,采用1602液晶显示的。C语言的程序。仿真PROTEUS文件都用。money来取。

摘 要近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此越来越广泛地应用各个领域.本文的电子钟系统是以单片机(AT89C51)为核心,时钟芯片DS1302、数码管显示驱动芯片MAX7219等元器件组成。具体介绍应用Proteus的ISIS软件进行单片机系统的电子钟设计与仿真的实现方法。该方法既能准确验证所设计的系统是否满足技术要求,又能提高系统设计的效率和质量,降低开发成本,具有推广价值。关键词:单片机; 时钟芯片 ;数码管显示驱动芯片 ;Proteus;电子钟Design and Simulation Of electronic clock Based onSingle-chip SystemQiu SongtangAbstractIn recent years, with computers in the infiltration and the development of large-scale integrated circuits. SCM application is steadily deepening, as it has strong function, small size, low power dissipation, low prices, reliable, easy to use features, it is particularly suited to and control of the system, increasingly widely used in various fields.This article describes an electronic bell system is single-chip microcomputer (AT89C51) as the core, the clock chip DS1302, LED display driver chip components, such as MAX7219 component.Describes the application of Proteus's ISIS software of the electronic single-chip system clock to achieve the design and simulation methods in details.The method can not only test the property of the system precisely,but also improve development efficiency and reduce development cost,which values in popularity.Key words: AT89C51; DS1302; MAX7219; Proteus; electronics clock目 录第一章 绪论 ……………………………………………………………… 21.1 引言 …………………………………………………………………… 21.2 Proteus软件简介 …………………………………………………… 2第二章 系统设计 …………………………………………………………. 32.1 电子钟系统器件选择 ………………………………………………………… 32.1.1 AT89C51单片机简介 …………………………………………… 32.1.2 实时时钟电路DS1302工作原理 ……………………………………62.1.3 MAX7219工作原理 ……………………………………………….82.2 电子钟系统设计流程 ……………………………………………… 11第三章 硬件电路设计 …………………………………………………… 123.1 Protel DXP电路图设计 …………………………………………………123.2 Proteus 电路图设计 ………………………………………………… 13第四章 软件设计 ……………………………………………………………144.1 程序流程图设计 ………………………………………………………………144.2源程序设计 …………………………………………………………………… 144.3 KeilC51进行程序调试 ……………………………………………………… 18第五章 系统调试与仿真 ……………………………………………………195.1 Proteus中Hex文件选择 …………………………………………………… 195.2 Proteus 进行电子钟系统仿真 …………………………………… 20结束语 ………………………………………………………………………… 22参考文献 ………………………………………………………………………23

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

  • 索引序列
  • 应用电子系闹钟毕业论文
  • 电子闹钟毕业论文
  • 电子闹钟毕业论文免费下载
  • 简易电子闹钟的设计毕业论文报告
  • 电子时钟系统毕业论文设计
  • 返回顶部