首页 > 学术论文知识库 > 论文汽车尾灯的英文参考文献

论文汽车尾灯的英文参考文献

发布时间:

论文汽车尾灯的英文参考文献

沃尔沃车标历史:In June 1915, "Volvo" name first appeared in a ball on the SFK, and formally at the Royal Swedish Patent and Trademark Registration Board registered as trade marks. Since that date, SKF companies produce go each group of automotive side of ball and roller bearings, are marked with a new Volvo Latin, "Volvere" is the verb "roll" (rolling) and infinitives, for example, a pistol with a runner is called "revolver". In the use of first person singular form, the verb "volvere" becomes "volvo", "I roll" is "I go forward" means. Therefore, Volvo means "rolling forward." At present the Chinese name of unification as "Volvo", in the past have also had the "rich" in Chinese cars marked by a graph composed of three parts: the first part of the circles represent the Roman god of war Mars, which is the ancient chemical symbol for iron - an arrow inside the circle, the arrow pointing diagonally upwards to the upper right corner. In Western civilization, this can be regarded as the oldest and most common of a trademark, which originated in the Roman Empire, is Mars, the Roman god of war and men masculine symbol of the concept of three different qualities, thus also embodies Mars and then to use to make the majority of weapons between the initial source of iron. Because of this, the flag has long been the world including Switzerland, as a symbol of the steel industry. The reason why the car on the use of the brand logo on behalf of iron is to allow people to recall the glorious tradition of the Swedish iron and steel industry, as well as a strong steely second part is diagonal, set in the radiator from the upper left to lower right in favor of a diagonal ribbons. This band was originally out of technical considerations, is used to sign fixed to the grille on Mars, then it has gradually evolved into a decorative sign and become the most obvious sign of Volvo third part is a registered trademark of Volvo company, is the font used for writing the ancient Egyptian word 1927 was successfully manufactured the first cars on display on the integrity of all of the company logo. This car marked the beginning since the first one has been in use since Volvo cars, Volvo's car as a clear sign of unusual. In addition, Volvo the center of the steering wheel can be seen the iron symbol.够吗? 不够我再发,我已经打给你的邮箱了! 给我分吧!

我来解决吧呵呵我是sail2011

论文英文参考文献格式

在社会的各个领域,大家对论文都再熟悉不过了吧,通过论文写作可以培养我们的科学研究能力。如何写一篇有思想、有文采的论文呢?下面是我收集整理的论文英文参考文献格式,希望能够帮助到大家。

英文文献采用“APA格式”:

单一作者著作的书籍:

姓,名字首字母.(年). 书名(斜体). 出版社所在城市:出版社.

Sheril, R. D. (1956). The terrifying future: Contemplating color television. San Diego: Halstead.

两位作者以上合著的书籍:

姓,名字首字母., & 姓,名字首字母.(年). 书名(斜体). 出版社所在城市:出版社.

Smith, J., & Peter, Q. (1992). Hairball: An intensive peek behind the surface of an enigma. Hamilton, ON: McMaster University Press.

文集中的文章:

Mcdonalds, A. (1993). Practical methods for the apprehension and sustained containment of supernatural entities. In G. L. Yeager (Ed.), Paranormal and occult studies: Case studies in application (pp. 42–64). London: OtherWorld Books.

期刊中的文章(非连续页码):

Crackton, P. (1987). The Loonie: God's long-awaited gift to colourful pocket change? Canadian Change, 64(7), 34–37.

期刊中的文章(连续页码):

姓,名字首字母.(年). 题目. 期刊名(斜体). 第几期,页码.

Rottweiler, F. T., & Beauchemin, J. L. (1987). Detroit and Narnia: Two foes on the brink of destruction. Canadian/American Studies Journal, 54, 66–146.

月刊杂志中的文章:

Henry, W. A., III. (1990, April 9). Making the grade in today's schools. Time, 135, 28-31.

php论文英文参考文献

[1]冯国良.基于Web及VRML网络教学平台的设计与实现[D].西安电子科技大学,2010.

[2]赵胜.基于B/S架构的Moodle网络教学平台的设计与实现[D].河北科技大学,2012.

[3]晏榆洋.基于web的在线网络教学平台的设计与实现[D].电子科技大学,2013.

[4]王逾西.动态网页的数据库连接技术[J].天津市财贸管理干部学院学报,2010,12(1):41-43.

[5]徐一菲,陈光柱,沈春丰等.基于PHP网站的远程控制系统研究[J].微计算机信息,2010,26(15):135-136,115.

[6]丁鲁南,康梅娟.基于WAMP的红色文化动态网站的设计[J].科技资讯,2012,(26):20-20.

[7]滕文.基于MVC模式的PHP程序开发[J].科技视界,2012,(28):262.

[8]郭雪清,肖飞,黄正东,王光华,宋莉莉,张伟.医院绩效管理信息系统的设计与实现[J].中国数字医学,2014,13(15)03:12-14+17.

[9]袁永革.试析医院管理信息系统的设计思想及其实施[J].计算机与信息技术,2014,16(18),03:91-94.

财务论文英文参考文献

[1]Adams, M. and Hardwick, P. An Analysis of Corporate Donations: UnitedKingdom Evidence [J], Journal of Management Studies, 1998,35 (5): 641-654.

[2]Aronoff,C.,and J Ward. Family-owned Businesses: A Thing of the Past or Model of the Future. [J]. Family Business Review, 1995,8(2); 121-130.

[3]Beckhard,R“Dyer Jr.,. Managing continuity in the family owned business [J]. Organizational Dynamics, 1983,12 (1): 5-12.

[4Casson, M. The economics of family firms [J]. Scandinavian Economic History Review, 1999' 47(1):10 - 23.

[5]Alchian,A.,Demsetz, H. Production, information costs, and economic organization. American Economic Review [J]. 1972,62(5): 777-795.

[6]Allen, F,J, Qian and M, J. Qian. Law,Finance and Economic Growth in China [J], Journal of Financial Economics, 2005,77: .

[7]Amato,L. H.,& Amato,C. H. The effects of firm size and industry on corporate giving [J]. Journal of Business Ethics,2007,72(3): 229-241.

[8]Chrisman, ., Chua,., and Steier, L. P. An introduction to theories of family business [J]. Journal of Business Venturing, 2003b, 18(4): 441-448

动画论文英文参考文献范例多则

[1]程曼丽、王维佳:《对外传播及其效果研究》[M],北京大学出版社,2011年,36页.

[2]冯捃、何春耕:《《功夫熊猫》的跨文化传播分层解读》[J],《电影评介》,2014年第5期37-40页.

[3]范文杰、戴雪梅:《无意识一内隐认知理论的演变历程回顾及展望》[J],《重庆工商大学学报》,2009年第6期,596-601页.

[4]管文虎等:《国家形象论》[M],电子科技大学出版社,1999年,23页.

[5]郭秀艳、崔光成:《内隐学习本质特征的实验研究》[J],《心理科学》,2002年第1期,43-46页.

[6]陈晓云:《动画电影:叙事与意识形态》[J],《上海大学学报(社会科学版)》,2010年第5期,54-60页.

[7]黄国文、徐裙:《语篇分析与话语分析》[J],《外语与外语教学》,2006年第10期,2-6页.

[8]李德顺:《普遍价值与其可观基她》[J],《中国社会科学》,1998年第6期,5-14 页.

[9]刘丽英:《国家形象研究文献述评及营销视角分析一基于CSSCI(2001-2011)的研究》卬,《学术探索》,2014年第2期,120-123页.

学术论文英文参考文献注入格式

一、学术论文英文参考文献标注格式。

按照现行规定,学术期刊中论文参考文献的.标注采用顺序编码制,即在文内的引文处按引用文献在论文中出现的先后顺序以阿拉伯数字连续编码,序号置于方括号内。同一文献在一文中被反复引用者,用同一序号标示。这一规定使得所列文献简洁明了,应该引起论文作者注意。英文参考文献和中文参考文献一样,按在文中出现的先后顺序与中文文献混合连续编码着录;英文文献用印刷体;英文书名、期刊名和报纸名等用斜体;所列项目及次序与中文文献相同,但文献类型可不标出;忌用中文叙述英文。其格式为:

专着、论文集、学位论文、报告-[序号]主要责任者。文献题名。出版地:出版者,出版年。起止页码(任选)。

示例:[1]Day,C.,Veen, Walraven,G. Children and youth at risk and urban education. Research,policy and prac-tice. Leuven/Apeldoorn:Garant. 1997.

期刊文章-[序号]主要责任者。文献题名。刊名,年,卷(期):起止页码。

示例:[2] Driessen,G.,& Van der Grinten,M. Home language proficiency in the Netherland:The evaluation of Turkish andMoroccan bilingual programmes- A critical review,Studies in Educational Evaluation,1994,20(3):365- 386.

论文集中的析出文献-[序号]析出文献主要责任者。析出文献题名。原文献主要责任者(任选)。原文献题名。出版地:出版者,出版年。析出文献起止页码。

示例:[3] Driessen,G.,Mulder,L.,& Jungbluth,P. Structural and cultural determinants of educational opportunities in theNetherlands. In (Ed.),Root and migration in global perspective. Jerusalem:Magnes . 104.[5]

报纸文章-[序号]主要责任者。文献题名。报纸名,出版日期(版次)。

示例:[4] Lgnatieff,M. Keeping an old flame burning brightly. The Guardian,1998- 12- 20(12)。

电子文献-[序号]主要责任者。电子文献题名。电子文献的出处或可获得的地址,发表或更新日期。

示例:[5] Baboescu,F. Algorithms for fast packet classification. .

二、关于英文人名的标注。

现行编排规范对英文人名如何标注未作明确要求,英文人名的标注较为混乱,有标注全名的,有标注时将名缩写、姓不缩写、保持原来顺序的,还有在姓、名之间加圆点的,后者是我国翻译作品中,中文书写外国人名经常采用的一种方式。其实,标注英文人名是有章可循的,在国外学术着作的参考文献中,关于人名的标注已约定俗成为一种统一的格式,即英文参考文献标注作者姓名时,要求姓在前、名在后,姓与名之间用逗号隔开,姓的词首字母大写,其余字母不大写;名用词首大写字母表示,后加缩写符号圆点,缩写符号不可省略。由于欧美国家人的姓名排列一般是名在前、姓在后,在标注时必须加以调整。如Georg Paghet Thomson,前面两个词是名,最后一个词是姓,应标注为Thomson,G. P为什么要如此标注呢?笔者认为有以下原因。

1.在应用计算机等信息工具进行英文文献检索时,以英文作者姓名中的姓作为依据之一,即以姓作为检索目标之一。

2.在欧美人姓名表达含义里,姓比名的重要性更强、更正式。用姓而不是名来代表作者,还有尊重、礼貌的意味。名缩写后加缩写符号圆点,也含有正式、尊重和礼貌的意味,缩写符号不可省略。

3.表示与平常书写姓名的不同,体现学术论文重要性、简约性和准确性的要求,符合科研论文文体风格。这种标注在英文学术着作、科技文献中已广泛采用,也容易被广大读者、作者理解、接受。

对于复姓情况,如Jory Albores-Saavedra等,在引用标注时,应将复姓全部写出,即Albores-Saavedra, J对于姓前带有冠词或介词的情况,如带有Mac,Le,Von,Van den等,标注时不能省略,应同姓一起提到前面标注,如Mac Donald,La Fontaina,Von Eschenbach,Van den Bery等。这里有个有趣的现象,对于北欧人常见的姓Van den Bery,如Van的词首字母大写,表示它是姓的一部分,标注时应与姓一起前置;如果作者姓名书写为Graham van den Bery,其中van的词首字母v没有大写,则表示它不是姓的一部分,姓Bery前置时,van den仍留在原来的位置,并且不可缩写或省略,标注为Bery,G. van den.另外,对于“姓名+学位”的情况,标注时一般把“学位”删去,不要将其误认为姓或姓的一部分.

一个参考文献有两位或两位以上作者时,标注时除按上述要求将每位作者的姓提前书写外,作者与作者之间用逗号分开,最后一位作者前加&符号,如示例[1],也可仅保留前三位作者,之后加etc.表示。

三、关于英文参考文献发表(出版)时间标注到年的问题。

发表(出版)时间是参考文献的一项重要内容,标示引用文献发表的历史时间位置,是判断引用文献新旧的一个根据,不可遗漏。国外学术论着中参考文献的发表(出版)时间标注到年,这与我国学术论着中参考文献的标注规定相同。国外学术论着中参考文献的发表(出版)时间的标注位置有标注在作者后的情况,并加圆括号,这是因为采用了“着者-出版年”制。我国学术期刊编排规范参考文献的标注采用“顺序编码”制,发表(出版)时间标注靠后,如示例[1]、[3],应按此要求标注为是。

四、英文析出文献名和原文献名的标注。

由于现行编排规范对英文析出文献和原文献的标注书写要求不够明确,目前有把析出文献名排成斜体,而把原文献名(论文集名或期刊名等)排成正体的情况。这种标注方式是不对的,混淆了析出文献名和原文献名的效力,正确的编排要求与此相反,国外的普遍作法与我国学者的论述[4]要求一致,因此这一现象值得编辑同行注意。

英文书名在英文文章中出现有排成斜体的习惯,论文集名、期刊名或报纸名与书名效力相同,故排成斜体,析出文献名相当于书中的章节标题,不具有书名的分量,故不可排成斜体。

在标注原文献名及作者时,原文献多指论文集或与之类似的著作,英文标注习惯上在编着者名前加词首字母大写的介词In,作者姓名前后次序不作调整,名缩写为词首大写字母,后加缩写符号圆点,姓完整标出,不缩写。作者后加编者一词的缩写形式及缩写符号圆点,词首字母大写,外加圆括号,如标注为In S. Weil(Ed.),如示例[3].然后斜体标注原文献题名,后加注出版年,起至页码的缩写形式pp.和析出文献的起至页码。当原文献有两位或两位以上作者时,作者姓名同上述情况一样,前后次序不作调整,分别标出,编者一词缩写用复数形式Eds.,如In L. Eedering,& P. Leseman(Eds.)。

文献类型不宜标出。文献类型是我国编排规范制定的标注要求,国外并未采用。在中文中标注醒目、自然,在英文中此一项目的标注容易产生误解和干扰。如果是为方便计算机在检索或统计时辨识,是技术上的要求,那么就应当统一要求标注,从“可不标出”来看,尚未有技术上的要求。因而,文献类型在英文参考文献中不作标注为妥。

五、出版地和出版社(商)的标注。

出版地和出版社(商)是参考文献的重要内容,标示版权信息,不可遗漏或省略。我国一部着作一般由一家出版社负责出版发行,出版地一般也就比较明确为出版社所在的城市。国外情况就比较复杂了,由于市场经济高度成熟,语言通用程度高,着作权被普遍保护等原因,一部着作可能由不止一家出版社(商)合作出版发行,出版地也可能在不同国家的不同城市。当出版地有两处或两处以上、出版社(商)有两个或两个以上时,应当一一标出,中间用斜杠分开。如Amsterdam/Philadephia:Ben-jamins,又如Den Haag:Sdu/DOP出版地一般是出版社(商)所在的城市,标注城市名,不可标注为国家名。

参考文献补充了文章的重要信息,涉及范围十分广泛。因而,希望在修订现行编排规范时,对英文参考文献的标注作明确规定,以便作者写作和编者编辑时皆有章可循,亦使这项工作更加规范。

java论文英文的参考文献

参考文献:

[1]王庆智.王喜富.基于供应链管理的物流信息平台设计研究[A].第五届中国工业企业物流论坛论文集[C].2007(8)

[2]张翔.基于XML与Java技术构建电子政务系统的方法研究[D].西北大学.2008(5)

[3]符强.基于Java动态编程技术的软件自愈合构架研究[D].西北工业大学.2007(3)

[4]徐伟.赵嵩正.蒋维杨,基于功能的区域物流信息平台体系结构研究[J].情报杂志.2008(27)

[5]白磊,李芙玲.基于工作过程导向的JAVA程序设计课程教学研究[J].华北科技学院学报,2013,(04):92-95.

[6]赖小平.基于工作过程导向的《Java程序设计》课程开发与实践[J].福建电脑,2015,(05):52-53.

[7]孙晓奇,李胜,张文娇.基于工作过程的教学模式在java课程中的应用[J].电脑知识与技术,2014,(02):338-339.

参考文献:

[1]李舒,陈丽君.高校学生成绩管理系统的设计与实现[J].辽宁大学学报:自然科学版,2006,3(3):283-285.

[2]徐亮.高校智能排课系统的研究[J].电子设计工程,2013,21(7):24-27.

[3]张小红.高校排课系统的设计与实现[J].电子科技,2012,25(7):45-47.

[4]苏贞,陈海关.高校智能排课系统中蚁群算法的应用探讨[J].兰州教育学院学报,2013,29(7):120-121.

[5]叶波霞浅谈大学生兼职在大学生活的重要性[J].中小企业管理与科技,2014,(33)

[6]王丽晖.关于大学生兼职现象的几点思考*高校一线思政工作者视角下的大学生兼职现象[J].2009,10(04)

参考文献:

[1]常征.功能测试中自动化测试框架的分析与应用[D].北京:北京林业大学,2007.

[2]徐骋.Android应用软件自动化测试框架的研究[D].大连:大连海事大学,2015.

[3]路静,王琪.一种基于功能测试的自动化测试框架[J].内蒙古科技与经济,2005(23):25-26.

[4]曾北溟.自动化测试框架的研究与实现[D].武汉:武汉大学,2004.

[5]步倩倩.面向GUI软件的自动化测试框架的研究与应用[D].成都:电子科技大学,2010.

[6]郝义鹏.基于关键字驱动自动化测试平台的设计与实现[D].哈尔滨:哈尔滨工业大学,2007.

[7]侯菊敏.基于Android的关键字驱动自动化测试框架研究[D].广州:中山大学,2012.

[8]区立斌.基于层次关键字驱动的自动化测试框架设计与应用[D].广州:中山大学,2012.

[9]郝晓晓,张卫丰.基于XML的SDK自动化测试框架的设计与实现[J].计算机技术与发展,2010(4):101-104.

[10]谢文哲.基于模块化测试框架的单元自动化测试框架的设计与实现[D].武汉:华中科技大学,2007.

[11]陈健.基于模型的数据处理系统的自动化测试框架[D].北京:中国科学院大学(工程管理与信息技术学院),2013.

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

求汽车论文结尾的参考文献

[1] 郭彦清.汽车租赁业市场潜力与发展探讨[J].财经贸易,2000(8):76-78.[2] 李辉,史建锋.我国汽车租赁业规模化发展因素分析[J].企业研究,2003(19):45-48.[3] 周朗等.我国汽车租赁业的若干问题和建议[J].经济论坛,2004(20):88-89.[4] 冯瑞林.汽车租赁业:六大问题及解决办法[J].现代物流探索,2006(2):76-78.[5] 张其翔,吕廷杰.商业模式研究理论综述[J].商业时代,2006(3):23-25.[6] 罗氓,曾涛,周思伟.企业商业模式创新:基于租金理论的解释仁[J].中国工业经济,2005(7):56-57.[7] 吴勇.解读汽车金融公司管理办法[J].汽车工业研究,2003(11):25-27.[8] 魏国旗.搞好软环境促进汽车消费[J].汽车工业研究,2003(11):16-18.[9] 吕锦.分期付款销售法的可行性思考[J].汽车工业研究,2004(12):39.[10] 张宇哲.租赁业涉足汽车金融-政策缺乏使企业面临尴尬[J].财经时报,2005(1):33.[11] 宋晓冰.发展我国汽车服务贸易的思考[J].上海汽车,2003(8):10-12.[12] 严丙凯.汽车企业价值链管理思考[J].汽车工业研究,2004(11):15-16.[13] 冯丽.城市出租车行业经营模式的政府管制问题[J].合作经济与科技,2009(6):34-35.[14] 庄序莹.出租车特许经营权管制评析[J].城市问题,2011(1):25-28.[15] 蒋超.国内汽车租赁行业发展现状分析[J].汽车与配件,2012(1):36-38.[16] 琼.玛格丽特,南斯通著.什么是管理[M].北京:电子工业出版社,2003.[17] 兰伯特.关键管理问题:各种商业模式的睿智精要[M].北京:经济管理出版社,2004.[18] 翁君奕.商业模式创新:企业经营魔方的旋启[M].北京:经济管理出版社,2004.[19] 波特.竞争优势[M].北京:华夏

起找吧,人多力量大哦。[中英译文-外文资料]汽车依赖性对经济发展的影响Automobile Dependency and Economic DevelopmentEconomic Development Impacts of Automobile DependencyAutomobile dependency has various impacts that affect economic These are summarized below and some are discussed in detail later in this . Increased Mobility And Convenience For MotoristsAutomobile dependency directly benefits vehicle users: favorable pricing, investment, facilitydesign, parking and land use practices make driving relatively fast, convenient and affordable. It also allows businesses to use more centralized distribution systems and Just-In-Time production, and to access a wider range of possible employees and customers, which can cause certain types of agglomeration efficiencies, such as large retail centers. These savings and efficiencies can increase economic development if they increase the productivity of local industries. These productivity benefits are separate and in addition to consumer benefits from increased mobility. However, not all increased vehicle use by producers represents increased productivity. As discussed later in this paper, automobile dependent transportation systems and land use patterns require more travel to provide a given level of services.汽车依赖性对经济发展有着多方面的影响,概括起来主要是以下几点,在后文中我将对其进行详细的讨论和分析。(一)对于汽车使用者而言,出行更为便捷汽车依赖性首先造福的是那些汽车的拥有者:合适的价格、投资、配件设计、停车场和土地使用方式的变化使得驾车变成更为快捷、舒适、方便的出行选择。同时,这也使得商业活动更加聚集,使得企业能够在更大地理范围得到潜在雇员和顾客,例如大型零售消费中心。由此得来的收入可以通过促进当地工业的生产力来促进经济发展。通过增加流动性而得到的收益增加是脱离于消费者收益的,也就是增加的部分。当然,并不是所有的汽车使用增加都能够使得生产力得到增加,正如后文将要讨论的那样,交通状况和土地使用方式都是关键的影响因素。还有一个:[中英译文-外文资料]美国汽车产业中的新兴企业能力与创新(节选)The capabilities of new firms and the evolution of the US automobile industry我尽力了,能找到的都在这里了。如果能帮到你,要加分哦。

汽车尾气检测论文参考文献

爱投稿可以帮你

浅谈汽车尾气排放的控制摘要:发动机燃烧后排放出的废气是我国城乡大气污染的重要源头之一。本文主要论述了几种降低废气对环境的污染的措施。关键词:汽车尾气环境控制随着我国汽车工业的迅速发展,汽车保有量也在急剧增加,汽车现已成为人类重要的运输工具,它提高了社会生产效率,改善了人们的生活质量。汽车在促进经济繁荣,给人民生活带来方便的同时,也给环境带来了负面影响。它给人类赖以生存的环境带来了日益严重的危害,发动机燃烧后排放出的废气污染了大气环境。据统计,在我国大城市大气污染中,汽车尾气排放量已占大气污染源85%左右,全球10个大气污染最严重的城市中,我国就占了7个。因此,控制汽车尾气排放,治理城市大气污染已成为我国各市刻不容缓的重要任务。控制汽车尾气排放是一项庞大而复杂的系统工程,它与汽车的设计、制造、使用、维护保养、燃油品质等直接相关,同时也与城市交通管理以及财税政策密切相关。要抓住每一个影响汽车污染排放的环节,才能使汽车污染排放得到有效的控制。1严格执行废气排放的国家标准和地方标准与法规通过法规和标准来约束汽车制造厂家和改造维修厂家,以此来推动汽车制造技术水平,特别是排放技术的进步。从2000年开始,我国就开始加大控制汽车尾气排放的力度,实施了相当于欧Ⅰ标准的国家第一阶段排放标准(简称“国Ⅰ”),2004年开始实施相当于欧Ⅱ标准的第二阶段排放标准(简称“国Ⅱ”)。目前国家环保总局已公布轻型汽车自2007年7月1日起实施国Ⅲ号、国Ⅳ号(与欧洲Ⅴ号接近)标准。这些标准的实施将会使汽车尾气排放的污染大为减少。2改进燃料品质燃料的品质与汽车发动机的燃烧过程和燃烧效果有直接关系,改进燃料品质是控制汽车排放污染相当重要的途径之一。首先是淘汰含铅汽油,四乙基铅是一种低号汽油抗爆剂,它随着排气进入大气后,通过呼吸或食物链进入人体,并蓄积在体内,引发各种疾病,特别是对儿童和孕妇的危害极大,我国已在2000年7月1日起全面禁止使用铅汽油。另外还要对车用汽油中的硫含量、烯烃和芳香烃含量以及饱和蒸汽压加以限制,以减少有害气体的生成,减少汽油的蒸发。此外,汽油中加入清洁剂,减少胶质和沉积物,也是改善燃烧的措施之一。为进一步调整能源消费结构,开发石油替代资源,更有效降低汽车尾气污染物的排放,目前,在我国部分省市已经开始推广车用乙醇汽油,即在90%的车用无铅汽油中加入10%的燃料乙醇,可以替代10%的车用无铅汽油。使用一部分燃料乙醇替代车用无铅汽油,即能改善汽车尾气排放,同时也改善了我国能源结构,推动了可再生能源的发展。3增加排气净化的附加装置采取的措施有加装尾气催化净化装置,即借助催化器作用,使催化器与尾气排放中的污染物通过化学反应生成对人体没有直接伤害的物质,最常见的是三元催化器。采用高能电子点火装置,即通过精确控制汽油机点火提前和提高点火能量,以创造理想的燃烧条件,从而减少发动机的污染排放。采用电子控制燃油喷射,即将发动机空燃比控制在最佳理论值附近,使发动机无论在任何环境条件和何种工况下都能精确地控制混合气的浓度,使汽油得到完全充分燃烧,从而降低废气中有害成分的含量。4推行代用燃料用天燃气或者液化石油气等气体作为燃料来替代汽油、柴油,由于气体燃料含硫、氮等杂质少,燃烧完全,可显著减少汽车污染物的排放,而且燃料系统是封闭的,不存在燃料蒸发现象,因此受到广泛欢迎。燃气汽车也被称为清洁能源车、环保汽车、绿色汽车,推行代用燃气车改造已成为控制汽车尾气排放污染的措施之一。5加强对在用车的检查和维护,推行I/M制度I/M制度即在用车检查和维护制度,是通过立法、标准、科学的质量控制、质量保证体系和管理机制,对在用车进行定期或不定期的排放检测,发现排放超标车和篡改排放控制装置的车辆,责令其限期进行修理,使在用车最大限度的发挥自身的排放净化能力。汽车排放污染仅仅是车辆性能指标不稳定或恶化的一种表征,其内在原因是多方面的,对排放超标的汽车,必须要由有经验的技术人员按作业规范认真对其进行检测、诊断、判明故障点。在消除相应故障的同时,有针对性地对汽车故障的相关部位认真进行检查维护作业,使汽车恢复正常的工作状态,减少和消除因故障或参数变化造成的排放超标。6优先发展公共交通发展公共交通,减少市区、特别是市中心的车流量,是减少汽车污染物排放、改善城市大气环境质量的有效措施。尽管我国道路建设有了很大发展,道路系统逐步完善,但是仍满足不了车辆迅猛发展的需要。交通阻塞问题仍然十分严重,城市汽车经常在怠速、低速、加速、减速等排放恶劣的情况下工作,加重了城区特别是城区道路的空气污染,同时也造成能源的浪费。7广泛宣传、提高驾驶员的环保意识如果驾驶员都有保护环境的意识,都懂得怎样驾驶汽车可以减少排气污染,我们的环境会大有改观。汽车排放控制涉及的范围极其广泛,需要得到全社会的关心和支持。抓好排放控制的各个环节,每个单位、每个部门、每个公民都应积极参与支持汽车污染的控制,使减少汽车排气污染成为每个人的自觉行动,为保护和改善大气环境质量做出努力,共同营造一个美好家园。1] 周雁飞. 敬礼,农经干部[J]. 农村财务会计 , 2005,(03) [2] 李小荣. 城市污水处理行业发展建设问题探讨[J]. 山西建筑 , 2002,(05) [3] 高俊. 浅谈如何控制工程造价[J]. 苏盐科技 , 2003,(03) [4] 郑翠萍. 浅谈做好水利事业单位财务管理工作的重要性[J]. 浙江水利科技 , 2000,(S1) [5] 严民政, 侯涛, 孙映君. 谈工程质量控制[J]. 平原大学学报 , 2000,(02) [6] 青山不墨千秋画 绿水无言万古诗——房山区长沟镇环境兴镇[J]. 前线 , 2006,(01) [7] 朱正德. 把生产现场作为质量控制的主战场[J]. 汽车工业研究 , 1996,(06) [8] 赵杰. 建设工程项目的投资控制[J]. 山西建筑 , 2004,(07) [9] 李贤弼. 建设工程造价全程控制浅谈[J]. 交通科技 , 2004,(03) [10] 徐猛. 汽车尾气排放的测试[J]. 企业标准化 , 2004,(08)

汽车检测是指为了确定汽车技术状况是否达到标准或工作能力是否正常而进行的检查和测量。下面是我为大家精心推荐的汽车检测技术论文,希望能够对您有所帮助。

国内汽车检测技术概况

[摘 要]本文通过了解我国国内汽车检测技术的概念及其分类,介绍了我国一些先进前沿的汽车检测技术,阐述了我国汽车检测技术的发展概况,针对我国汽车检测技术中的不足之处,结合我国汽车检测技术的具体发展形势,提出了我国汽车检测技术的发展方向,这对我国汽车检测技术的发展具有一定的现实指导意义。

[关键词]汽车检测;检测技术;国内现状;发展概况

中图分类号: 文献标识码:A 文章 编号:1009-914X(2015)03-0056-01

1.汽车检测的概念

汽车检测是指为了确定汽车技术状况是否达到标准或工作能力是否正常而进行的检查和测量。汽车检测技术则是指在汽车检测这一过程中所有与之相关的检测硬件和检测软件的研发和使用技术。

2.汽车检测技术的分类

安全环保检测

安全环保检测主要是针对汽车的安全运行和环境保护方面的检测,这种检测又分为定期检测和不定期检测。该检测的目的是为了确定车辆是否具备符合要求的外观容貌以及良好的安全性能,同时对汽车的环境污染程度进行有效控制。在汽车不解体的情况下,对汽车建立安全监控体系,确保汽车能高效、安全和低污染的运行。

综合性能检测

综合性能检测是指对汽车的综合性能实行定期或者不定期的检测。该检测的目的是为了确定汽车是否具有良好的动力性、可靠性、安全性、噪声污染性以及排气净化性。该检测主要针对汽车的故障及其原因或隐患部位实行质量监督和检测,从而建立汽车质量监控体系,来达到该检测技术的目的。

3.国内汽车检测技术的发展情况

国内汽车检测技术的发展历程

(1)20世纪60年代,我国汽车检测技术处于起步阶段。我国开始研究汽车检测技术开始于20世纪60年代,为了满足当时的汽车维修需要,我国交通部门研究和开发了发动机汽缸漏气量检测仪以及点火正时灯等一些基本的检测仪器。

(2)20世纪70年代,我国汽车检测技术进入发力发展阶段。随着我国汽车生产技术以及人们汽车使用率的飞速增长,我国交通部门开始进入大力发展汽车检测技术的阶段。汽车检测的仪器设备增多,检测项目增多,检测标准和规则也得到进一步的完善,建立了汽车性能综合检验台。

(3)20世纪80年代,我国汽车检测技术进入快速发展阶段。随着我国科学技术和国民经济的飞速发展,我国汽车制造业和交通运输业也得到了飞速发展。因此,对汽车检测技术和设备的需求也日益增涨。我国汽车检测技术因此进入其发展的蓬勃向上时期。

(4)20世纪90年代至今,我国汽车检测技术已经发展相对成熟。迈入90年代后,我国汽车检测技术从其设备的研制、开发以及生产都有了自身的一套运作体系。90年代是我国汽车检测技术的发展高潮时期。虽然目前我国的汽车检测技术与外国仍存在一定的差距,其发展的过程中也存在有一些问题和不足,但我国汽车检测技术也在不断的吸收借鉴完善自己,保证自身良好的发展态势,努力为其创造广阔的发展前景。

目前国内具有代表性的先进前沿的汽车检测技术

(1)虚拟仪器检测技术

虚拟仪器检测技术是指通过自由增减测试系统配置,利用系统配置单元器件,按照每一个项目测试的要求标准,可以直观和有效的得出监测结果,从而提高测试技术的效率。

(2)将GPS技术与车辆检测相结合

该技术主要是利用了能够接受卫星定位信号的GPS系统,将其与汽车检测技术系统相结合,从而达到快捷有效的检测过程。

(3)利用汽车四轮定位进行检测

四轮定位仪主要是依据车轮定位得到检测数据,它利用图像显示并记录汽车四轮的运作情况,与汽车检测数据结果分析相结合,从而达到检测目的。

4.国内汽车检测技术发展过程中存在的问题

国内汽车检测站的经营管理过程中存在行政干预问题

在我国,安全检测是由公安部门来建立管理的。因此我国的综合性能检测站都由交通部门直接建立并管理或者由地方企业建立但仍由交通部门管理。这种行政管理形式,往往造成了检测结果的不真实、检测过程的不规范或者检测项目不完善的情况,甚至是伪造一些监测数据。

我国汽车检测存在重复检测的问题

目前,我国有权对汽车进行检测的机构至少有三种,即安检站、机动车尾气排放检测站以及汽车综合性能检测站。这三个机构又分别归隶属于公安、环保、和交通管理部门。这些部门从各自的职能要求出发对车辆进行必要的检查和监测,容易造成车辆的重复检查,在加大汽车检测工作量的同时,给车主也带来不便。

检测技术有待进一步完善

目前,我国的进口汽车检测标准体系主要依赖于外国检测标准,因此针对我国汽车具体发展情况,我国的汽车检测技术有待进一步提高和完善。例如,我国目前的技术可以对车辆的正面、侧面、追尾等事故进行检测,但对侧面碰撞、追尾碰撞等事故却缺乏相关的检测标准。这也急需我国汽车检测技术的提高和完善。

我国汽车检测人员的整体专业能力和专业素质有待提高

一方面,我国的汽车检测人员的专业检测能力有待提高。一些检测人员本身缺乏基本的汽车知识,检测操作不规范,对检测结果的分析能力不够,不能很好的判断汽车是否达到检测标准。另一方面,我国汽车检测人员的自身素质不够,一些检测人员故意抬高检测收费标准,为了个人利益不顾集体利益,甚至为一些没有达到标准的车辆伪造数据。这些都是造成安全隐患的个人因素,也不利于我国检测技术的研发和推广。

5.解决国内汽车检测技术发展过程中的问题的有效 措施

汽车检测技术基础实现规范化

在我国汽车检测技术的发展过程中,汽车检测的硬件技术一直以来都比汽车检测技术中的软件技术更受重视。这种想法往往会导致对一些基础性技术研究的忽略。因此,我国汽车检测技术的发展方向应该注重与硬件配套的软件检测技术的完善和提高。这方面主要做到三点:一,制定并完善汽车检测项目的限值标准和检测 方法 ;二,完善汽车技术状况检测的评定细则,将全国各地的检测要求和具 体操 作技术进行统一和规范化;三,严格执行综合性能检测站对大型检测设备的认证规则,确保综合性能检测站有能力胜任并履行其检测职责。

汽车检测设备实现智能化

虽然目前我国的汽车检测技术以及检测设备的智能化与国外的检测存在一定的差距,但是我国汽车检测设备正积极学习并通过进口一些外国先进检测设备来提高并完善我国汽车检测设备的智能化。检测设备的智能化使检测设备具有专家检测和诊断系统以及智能化的功能,可以在较短时间较快较准确的对汽车状况进行检测,并诊断出汽车发生故障的部位以及故障原因,从而让维修人员能够迅速解除故障。节约了劳动成本,提高了劳动效率。

汽车检测管理实现网络化

随着计算机和 网络技术 的飞速发展,我国各个行业都在逐步实现其管理的网络化,汽车检测行业也不例外。目前,虽然我国的部分汽车综合性能检测站已经实现了计算机管理系统检测,但计算机监控系统并不完善,而且各个检测站之间采用的计算机检测方式也都一致。为了逐步实现我国汽车检测管理的一致性和有效性,我国汽车检测应该积极推进其管理的网络化。

6. 总结

随着我国经济和社会的进步以及汽车工业的发展,我国汽车检测技术也必须不断的提高和完善。为了使汽车维修人员的工作越来越轻松,提高汽车检测结果准确性,我国汽车检测技术的发展越来越趋向于自动化、网络化和智能化。汽车检测技术的完善和提高有利于我国交通事业以及环保事业的发展,从而为我国经济和社会的发展提供良好的外在环境。

参考文献

[1] 初君浩;浅析汽车检测技术的发展[J];科技致富向导;2014(08)25.

[2] 王洪亮;汽车检测技术的若干问题的思考[J];无线互联科技;2013(12)15.

作者简介

张彦(1975-)女,汉族,山东菏泽人,助理工程师,大学学历, 毕业 于山东省委党校经济管理专业,研究方向为车辆检测、维修。

点击下页还有更多>>>汽车检测技术论文

汽车尾灯设计毕业论文设计

一、设计题目汽车尾灯控制电路设计二、设计任务假设汽车尾灯左右两侧各有三个指示灯(用发光二极管模拟),要求:汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁三、设计计划第1天:查资料,熟悉题目;第2天:提出初步方案;第3~4天:设计电路;第5天:编写设计说明书。四、设计要求1.画出整体电路图。 2. 写出设计说明书。3. 同组同学的的设计不能雷同。 4. 电路图中的图形必须本人亲自绘制 摘要 随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列的问题。比如,因为汽车的突然转向所引发的车祸经常出现。如果汽车转弯可以通过尾灯状态的变化来确定就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。本文是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由模式控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。关键字:模式控制电路;三进制计数器;J—K触发器;3—译码器; 综述随着社会的发展,科学技术也在不断的进步,现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据汽车运行状态的于汽车尾灯显示的关系,实现了对汽车尾灯显示状态的控制。根据汽车运行情况,指示灯具有4种不同的显示模式:1汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;2汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;3汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮;4汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。

留下你的邮箱,我发给你!

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

一 汽车尾灯控制电路设计内容及要求(一) 设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时只是灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1—R1R2—R1R2R3—全灭—R1)时间间隔(采用一个2Hz的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1—L1L2—L1L2L3—全灭—L1);在临时刹车或者检查尾灯是否正常时,所有指示灯同时点亮(R1R2R3L1L2L3点亮);当汽车后退的时候所有尾灯循环点亮,当晚上行车的时候汽车尾灯最下一个灯一直点亮。 (二) 设计要求1 汽车尾灯的控制电路的设计要求 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 (1)当接通右转电键时,右侧的3个汽车尾灯(用发光二极管模拟)按照右循环的顺序依次点亮。 (2)当接通左转电键时,左侧的3个汽车尾灯按照左循环的顺序依次点亮。 (3)当接通刹车电键时,汽车所有的尾灯同时闪烁。 (4)当接通检查电键时,汽车所有的尾灯点亮。 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高点评时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示,汽车尾灯控制电路设计总体框图如图1所示。表1 汽车尾灯和汽车运行状态表图1 汽车尾灯控制电路设计总体框图二 电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。三 系统方案的选择 在设计本电路时,一共考虑过二种方案。这二种方案的不同点在于产生001、010、100三种信号的方法不同。下面简单的介绍一下这二种方案: (一)方案一:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。 设:74LS160输出的两位信号从高位到低位分别是B A,输出信号为Z Y X。 则 经过 的逻辑运算便可实现所需的功能。 电路图如下图2 尾灯在闪烁时会出现不自然 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 (二)方案二:该方案是由555脉冲电路产生频率为1Hz的脉冲信号来驱动74LS160S组成的计数器电路,由开关电路来控制74LS138组成的译码电路,由计数电路和译码电路一起控制显示驱动电路,从而控制尾灯的亮灭,555定时器产生的信号可以使尾灯快速闪亮,通过D触发器产生001、010、100的三种状态信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案二是本次设计中使用的方案,该方案的详细设计将在下面详细叙述。

英文汽车论文参考文献

参考文献加标注一般是在引用文字的末尾点击插入引用——脚注和尾注,选择尾注就可以了,参考文献应该属于尾注,在菜单里选“插入---引用----脚注和尾注”,脚注是在文章的某一页下面的注解,而尾注就是在文章最后了,打开后就可以选编码,即角码。可以自己设定类型、格式。双击编码就可以在文章和参考文献间转换。

在英文输入法状态下输入[1],选中[1].按ctrl+shift++号键

把光标放在引用参考文献的地方,在菜单栏上选“插入|脚注和尾注”,弹出的对话框中选择“尾注”,点击“选项”按钮修改编号格式为阿拉伯数字,位置为“文档结尾”,确定后Word就在光标的地方插入了参考文献的编号,并自动跳到文档尾部相应编号处请你键入参考文献的说明,在这里按参考文献著录表的格式添加相应文献。参考文献标注要求用中括号把编号括起来,至今我也没找到让Word自动加中括号的方法,需要手动添加中括号。 在文档中需要多次引用同一文献时,在第一次引用此文献时需要制作尾注,再次引用此文献时点“插入|交叉引用”,“引用类型”选“尾注”,引用内容为“尾注编号(带格式)”,然后选择相应的文献,插入即可。不要以为已经搞定了,我们离成功还差一步。论文格式要求参考文献在正文之后,参考文献后还有发表论文情况说明、附录和致谢,而Word的尾注要么在文档的结尾,要么在“节”的结尾,这两种都不符合我们的要求。解决的方法似乎有点笨拙。首先删除尾注文本中所有的编号(我们不需要它,因为它的格式不对),然后选中所有尾注文本(参考文献说明文本),点“插入|书签”,命名为“参考文献文本”,添加到书签中。这样就把所有的参考文献文本做成了书签。在正文后新建一页,标题为“参考文献”,并设置好格式。光标移到标题下,选“插入|交叉引用”,“引用类型”为“书签”,点“参考文献文本”后插入,这样就把参考文献文本复制了一份。选中刚刚插入的文本,按格式要求修改字体字号等,并用项目编号进行自动编号。到这里,我们离完美还差一点点。打印文档时,尾注页同样会打印出来,而这几页是我们不需要的。当然,可以通过设置打印页码范围的方法不打印最后几页。这里有另外一种方法,如果你想多学一点东西,请接着往下看。选中所有的尾注文本,点“格式|字体”,改为“隐藏文字”,切换到普通视图,选择“视图|脚注”,此时所有的尾注出现在窗口的下端,在“尾注”下拉列表框中选择“尾注分割符”,将默认的横线删除。同样的方法删除“尾注延续分割符”和“尾注延续标记”。删除页眉和页脚(包括分隔线),选择“视图|页眉和页脚”,首先删除文字,然后点击页眉页脚工具栏的“页面设置”按钮,在弹出的对话框上点“边框”,在“页面边框”选项卡,边框设置为“无”,应用范围为“本节”;“边框”选项卡的边框设置为“无”,应用范围为“段落”。切换到“页脚”,删除页码。选择“工具|选项”,在“打印”选项卡里确认不打印隐藏文字(Word默认)。

参考文献格式:

作者.题名[D].所在城市:保存单位,发布年份.

李琳.住院烧伤患者综合健康状况及其影响因素研究[D].福州:福建医科大学,2009.

其他的:

作者.题名[J].刊名,年,卷(期):起止页码.

沈平,彭湘粤,黎晓静,等.临床路径应用于婴幼儿呼吸道异物手术后的效果[J].中华护理杂志,2012,47(10):930-932.

作者.书名[M]. 版次.出版地:出版者,出版年:起止页码.

胡雁.护理研究[M].第4版.北京:人民卫生出版社,2012:38.

作者.题名[N].报纸名,出版日期(版次).

丁文祥.数字革命与国际竞争[N].中国青年报,2000-11-20(15).

作者.题名[EB/OL].网址,发表日期/引用日期(任选).

世界卫生组织.关于患者安全的10个事实[EB/OL].

其他: [R]、[P]、[A]、[C]、[Z]等。

文中引述 正确引用作品原文或专家、学者的论述是写好英语论文的重要环节;既要注意引述与论文的有机统一,即其逻辑性,又要注意引述格式(即英语论文参考文献)的规范性。

引述别人的观点,可以直接引用,也可以间接引用。无论采用何种方式,论文作者必须注明所引文字的作者和出处。

美国学术界通行的做法是在引文后以圆括弧形式注明引文作者及出处。现针对文中引述的不同情况,将部分规范格式分述如下。

若引文不足三行,则可将引文有机地融合在论文中。如:The divorce of Arnold's personal desire from his inheritance results in “the familiar picture of Victorian man alone in an alien universe”。

被引述的文字如果超过三行,则应将引文与论文文字分开,如下例所示:Whitman has proved himself an eminent democratic representative and precursor, and his “Democratic Vistas”is an admirable and characteristic And if one is sorry that in it Whitman is unable to conceive the extreme crises of society, one is certain that no society would be tolerable whoses citizens could not find refreshment in its buoyant democratic ideali *** 。这里的格式有两点要加以注意。

一是引文各行距英语论文的左边第一个字母十个空格,即应从第十一格打起;二是引文不需加引号,末尾的句号应标在最后一个词后。若引文出自一部多卷书,除注明作者姓和页码外,还需注明卷号。

如:Professor Chen Jia's A History of English Literature aimed to give Chinese readers“a historical survey of English literature from its earliest beginnings down to the 20thcentury”。圆括弧里的1为卷号,小写罗马数字i为页码,说明引文出自第1卷序言(引言、序言、导言等多使用小写的罗马数字标明页码)。

如果英语论文中引用了同一作者的两篇或两篇以上的作品,除注明引文作者及页码外,还要注明作品名。如:Bacon condemned Platoas“an obstacle to science”。

Farrington points out that Aristotle's father Niachus, a physician, probably trained his son in medicine。扩展资料 基本要求 Clear:思路清晰、概念清楚、层次清楚、表达清楚 Complete:内容完整、结构完整匀称,切忌虎头蛇尾,有始无终 Correct:科学内容正确(不出错)、资料数据正确(数据可靠、可信)、语言正确(无语法错) Concise:论述深刻、充分揭示其科学内涵、使用定量方法 只有克服心理障碍,建立自信心, 熟悉SCI文章的思维方式及语言风格,勇于尝试,才能取得成功!注意事项 撰写英语教学论文要有务实的精神。

作者要沉得住气、耐得住寂寞,做到严谨、细致、认真,切忌浮躁、冲动、急功近利。要实事求是,深入实际,将教学研究植根于日常教学中。

在教学中研究,在研究中提高,不搞闭门造车、坐而论道。我们有些英语教师工作十分勤恳,但平时缺乏积累、疏于动笔,对论文写作望而生畏,不能用大纲、用先进的教育、教学理论武装自己的作品,不注意吸纳他人的成果,使作品成为单纯的经验、感受;或者只谈理论,不能用宝贵的实践经验来证明自己的论点,使作品缺乏说服力。

理论与实践相结合是英语教学论文写作应遵循的一项基本原则。第六、英文毕业论文摘要各部分的写作 根据《EI》对英文摘要的写作要求,英文摘要的写作并没有一成不变的格式,但一般来说,英文摘要是对原始文献不加诠释或评论的准确而简短的概括并要求它能反映原始文献的主要信息。

第七、英语毕业论文——目的(What I want to do?) 目的。主要说明作者写作此文的目的,或本文主要解决的问题。

一般来说,一篇好的英文摘要,一开头就应该把作者本文的目的或要解决的主要问题非常明确地交待清楚。必要时,可利用论文中所列的最新文献,简要介绍前人的工作,但这种介绍一定要极其简练。

在这方面,《EI》提出了两点具体要求:) Eliminate or minimize background information(不谈或尽量少谈背景信息)。) Avoid repeating the title or part of the titlein the first sentence of the abstract(避免在摘要的第一句话重复使用题目或题目的一部分)。

第八、英语毕业论文——过程与方法(How I did it?) 过程及方法。主要说明作者主要工作过程及所用的方法。

在英文摘要中,过程与方法的阐述起着承前启后的作用。开头交待了要解决的问题(What I want to do)之后,接着要回答的自然就是如何解决问题(How I did it),而且,最后的结果和结论也往往与研究过程及方法是密切相关的。

大多数作者在阐述过程与方法时,最常见的问题是泛泛而谈、空洞无物,只有定性的描述,使读者很难清楚地了解论文中解决问题的过程和方法。因此,在说明过程与方法时,应结合(指向)论文中的实例、实验框图等来进行阐述,这样可以既给读者一个清晰的思路。

第九、英语毕业论文——结果和结论(What results did I get and what conclusionscan I draw?)结果和结论部分代表着论文的主要成就和贡献,英语毕业论文有没有价值,值不值得读者阅读,主要取决于你所获得的结果和所得出的结论。因此,在写作结果和结论部分时,一般都要尽量。

英语毕业论文格式规范:A Contrastive Study beeen English and Chinese Idioms(题目:二号,黑体,加粗,居中,除了英语小词外,其他单词首字母都要大写;另外:除了题目外,论文中所有英文的字体均采用“Times New Roman”)(学院、专业、学号、作者姓名、指导教师姓名(小四号宋体字,加粗),依次排印在论文题目下,上空二行,居中)【Abstract】 This paper centers on the different expressions of …… (英文摘要:上空二行;题目采用五号“Times New Roman”字体,加粗,置于粗体方括号【】内,顶格放置;随后的内容与前面的粗体方括号【】之间空一格,不用其他任何标点符号;采用五号“Times New Roman”字体,不加粗;单倍行距。)

【Key Words】 idiom; parison; English; Chinese (英文关键词:题目采用五号“Times New Roman”字体,加粗,两个单词的首字母要大写,置于粗体方括号【】内,顶格放置;随后的内容与前面的粗体方括号【】之间空一格,不用任何其他标点符号,采用五号“Times New Roman”字体,不加粗,除了专有名词外,其他单词的首字母不大写,各单词之间用分号“;”隔开,分号之后空一格;最后一个关键词之后不用任何标点符号;单倍行距。)1. Introduction (顶格,除了第一个单词及专有名词外,其他单词首字母都不要大写;标题最后不用任何标点符号,上空两行)In both English and Chinese, …. So, this essay is trying to focus on the differences beeen Chinese and English idoms in terms of their essential meaning, customary usage and typical expression (Chang Liang, 1993:44; Li Guangling, 1999). (段落第一行缩进4个英文字符;夹注的标注法:出现在夹注中的作者必须与文后的参考文献形成一一对应关系;注意一个或多个作者间的标点符号,时间、页码等的标注法;另外,汉语参考文献的作者要以拼音形式出现,不能出现汉语姓氏;夹注出现在标点符号之前)2. The similarities beeen English idioms and Chinese idioms In English, …. And it can be clearly seen in the below examples:(1) I don't know。

我不知道。 (2) I am not a poet. 我不是诗人。

(正文中的例子以(1),(2)…为序号排列,直至最后一个例子;而①, ②…则为脚注或尾注的上标序号)3. The differences beeen English idioms and Chinese The characteristics of English idioms(正文章节序号编制:章的编号:1. ,2., 3.,…;节的编号:…,…;小节的编号为:, …。小节以下层次,采用希腊数字加括号为序,如(i),(ii)…;之后再采用字母加括号,如(a), (b),…;每章题目左顶格,小四号字,加粗;每节(及小节以下)题目左顶格,小四号字,不加粗但要斜体;所有章节的题目都单独一行,最后不加任何标点符号)….In conclusion, …. The characteristics of Chinese idioms….Feng (1998) found some problems as shown in the following examples (注意此句中夹注的另一种写法):(9) We never know the worth of water till the well is dry.(10) People take no thought of the value of time until they lose it.…. The *** ysis of the differences beeen English and Chinese idioms… (i) ….….(ii) ….…. 4. Conclusion….Bibliography (References) (小四号,加粗,后面不加任何标点符号) Sanved, ed. The Oxford book of American literary anecdotes[C]. New York: OUP, 1981.常亮,“关于英语的偏离否定”[J] 。

《外国语文》,1993,4:44。 冯树健,“否定之否定新说”[J] 。

《英语辅导》,1998,6:11。 李光陵,“不完全否定浅析” [J] 。

《大学英语》,2000,30:30。 (论文最后的参考文献中所有文献的排列顺序:尾注:按照编号顺序。

夹注:英文文献----网络文献----汉语文献,各个文献的先后以作者的姓氏字母或拼音为序,不用单独加序号或编号;每个参考文献的第二行起必须缩进4个英文字符;倍行距;另外,与文中的夹注一一对应;不同类型的参考文献写法请参照写作指南中附件2的内容)。

学校一般有格式的,按照格式标注。

驳论文是议论文常见的论证文体,在对一些社会丑陋现象的批判与揭露上价值尤为突出,但学生在写作中往往感到不知从何驳起,无从下笔。其实,这类文章写作有一个思路,那就是:1、列现象,2、示弊端,3、探根源,4、指出路。本文适宜高中课文,鲁迅先生的名篇《拿来主义》为例,对驳论文的这一特征予以探析。

列现象

对现实中不合道德、有碍社会健康发展的现象进行列举。事例选取的典型性,以求警醒人们;罗列的丰富性,以求引起读者共鸣;修辞的多样性,以求彰显行文文采,增强气势。例:

单是学艺上的东西,近来就先送一批古董到巴黎去展览,但终“不知后事如何”;还有几位“大师”们捧着几张古画和新画,在欧洲各国一路的挂过去,叫作“发扬国光”。听说不远还要送梅兰芳博士到苏联去,以催进“象征主义”,此后是顺便到欧洲传道。

论文中引用他人的文献应当按照参考文献的国家标准格式依次进行引用和标注。

格式为: [序号]主要责任者.文献题名[文献类型标识].出版地:出版者,出版年.起止页码(可选)。 例如: [7]金显贺,王昌长,王忠东,等. 一种用于在线检测局部放电的数字滤波技术[J].清华大学学报(自然科学版),1993,33⑷:62-67. [4]冯西桥. 核反应堆压力管道和压力容器的LBB分析[R]. 北京:清华大学核能技术设计研究院,1997. 扩展资料: 以毕业论文为例,论文正文版面格式: 1、正文部分与“关键词”行间空两行; 2、汉语正文文字采用小四号宋体;正文英语正文文字采用Times New Roman12号,标题汉语采用四号黑体,标题英语采用Times New Roman14号,每段首起空两格,倍行距; 3、段落间层次要分明,题号使用要规范。

Car rental is one of the few areas in the USA where you could encounter relatively unfriendly service and get the impression of being railroaded into extra expenditure, if not being outright swindled. Since it follows on shortly after the often intimidating immigration process you may gain a less than perfect first impression of America. It gets better. Some of this poor impression of car rental companies is due to the complexity of the various different cost elements. Certainly visitors from Britain with a voucher which only covers the basic rental cost have no justification for claiming to have been swindled when they are expected to pay for CDW/LDW and charged sales tax in the USA or Canada. On the other hand, car rental companies enhance their profits, and their sales clerks their commission, by selling upgrades and extra insurance policies that you don't really want or need. Some of the well known car rental companies have business travellers on expense accounts who couldn't care less how much the rental costs as the majority of their customers, and their sales clerks have difficulty understanding that someone who is paying for the rental out of their own pocket wishes to be more careful. I wonder if the car rental companies realise just how many of their customers who are paying for car rental themselves despise and hate their hard sell tactics - a car rental company which made a big advertising point of not employing hard sell tactics could be extremely popular. Hopefully the other pages in this section will help you to conduct your negotiation with the car rental company sales clerk from a position of knowledge. The best advice is to be firm but polite when rejecting upgrades and unnecessary insurance options - but this can be very difficult when encountering a hard sell sales clerk after a long flight.

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层,第三层,第四层的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

△△△△(条的标题,顶格,占一行)

△△△△(顶格,接正文)

△△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

[2] (作者姓名),Near-perfect Token Distribution(论文名称), in Random Structures & Algorithms5(1994)(论文发表在的出版物名称(期刊号)或会议名称).又如,[8] and Less-structured P2P Systems for the Expected High Churn, in IEEE P2P,2005.

  • 索引序列
  • 论文汽车尾灯的英文参考文献
  • 求汽车论文结尾的参考文献
  • 汽车尾气检测论文参考文献
  • 汽车尾灯设计毕业论文设计
  • 英文汽车论文参考文献
  • 返回顶部