• 回答数

    4

  • 浏览数

    190

悠悠思忞
首页 > 学术论文 > 控制电路毕业论文

4个回答 默认排序
  • 默认排序
  • 按时间排序

咪咪妈咪贝贝哄

已采纳

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

169 评论

李斯的雨

论文题目:PLC和变频技术在恒压供水系统中的应用 PLC和变频技术在恒压供水系统中的应用WwWWW 摘要: 本文是针对节能和提高供水质量问题而提出的恒压供水系统设计和应用的研究.文中分析了旧系统存在的问题,介绍了水位自动检测技术及保护措施,阐述了采用变频技术、PLC技术及自动控制技术相结合来实现的恒压供水控制的系统总体设计方案和软件设计。通过实践证明.该系统具有较强的功能.对供水质量、节约能源和运行可靠性具有较好的改善。关键词:变频技术;PLC技术;恒压供水;自启动1 引言随着各住宅小区的宿舍楼等一座座高楼拔地而起,相应的生活用水量也大幅度增加。人们对提高供水质量的要求越来越高,另外人们的节能意识及对运行的可靠性的要求越来越强。采用变频器及PLC技术实现的无塔恒压供水系统,不仅能提高供水质量,而且在节约能源和运行可靠性具有较好的改善。其中,采用变频调速的主要目的是通过调速来恒定用水管道的压力以达到节能的目的,恒压供水则是为了满足用户对流量的要求。应用PLC技术是为了实现系统的软启动,减少手动操作或抚慰操作,同时替代部分继电器减少机械触点的故障,增强可靠性。下面笔者根据这方面的工作经验谈谈在恒压供水系统设计和实践过程中的一些思路和做法。2 变频器的工作原理在恒压供水控制系统中,关键技术主要是变频技术。目前效率最高、性能最好的系统是变压变频调速控制系统。2.1变频器的基本构成变频器的基本构成如图1所示,由主回路(包括整流器、滤波器、逆变器)和控制电路组成。 整流器的作用是把三相交流整流成直流。滤波器是用来缓冲直流环节和负载之间的无功能量。逆变器最常见的结构形式是利用六个半导体器件开关组成的三相桥式逆变电路,有规律地控制逆变器中主开关的通与断,可以得到任意频率的三相交流输出。控制电路主要是完成对逆变器的开关控制、对整流器的电压控制以及完成各种保护功能等。2.2变频器基本原理 变频器的基本原理是利用逆变器中的开关元件,由控制电路按一定的规律控制开关元件的通断,从而在逆变器的输出端获得一系列等幅而不等宽的矩形脉冲波形,来近似等效于正弦电压波。图2所示出正弦波的正半周,并将其分为n等分(n=12)。每一等分的正弦曲线与横轴所包围的面积都用一个与此面积相等的等幅矩形所代替。这样,由n个等幅而不等宽的矩形脉冲所组成的波形与正弦波的正半周等效。正弦波的负半周也可以用相同的方法来等效。可采用正弦波与三角波相交的方案来确定各分段矩形脉冲的宽度。当逆变器输出端需要升高电压时,只要增大正弦波相对三角波的幅值,这时逆变器的输出的矩形脉冲幅值不变而宽度相应增大,达到了调压的要求。当逆变器的输出端需要变频时,只要改变正弦波的频率就可以了。3 控制系统总体设计过去的供水控制系统投资多,采用的模式为多台小功率水泵供水。在运行实践中暴露出主控电路设计不合理和逻辑控制设计不合理的现象。新系统总体设计方案如图3所示。在该供水系统的控制电路中除采用了变频器(VVVF),还采用一些先进控制装置如数字调节器(PID)、可编程控制器(PLC)等,这些装置都是以电脑芯片为内核完成各自不同的控制功能。为简化控制电路,根据负荷需要,使用一台18.5KW大容量水泵供水。为提高使用的安全系数,选用一台日本富士22.5KW变频器进行水泵调速,该变频器内置PID调节功能,但不具备参数监视功能。为能有效监视调节工况,特选数字显示调节器进行监视和控制,以备实现串级PID控制。鉴于外部I/O可控点数不多,可编程控制器PLC选用20点即可满足控制要求。4 水位检测电路设计4.1水位检测开关考虑到水位检测装置要求故障率少,运行可靠,为简化检测环节,设计中采用结构简单的浮子式水位检测开关,但为防止信号串扰,另外增加了一个隔离转换装置。该装置内选用了干簧继电器用以提高开关接点的可靠性和使用寿命。4.2水位检测逻辑控制水位检测逻辑控制功能如前所述完全由可编程控制器PLc编程实现,减少了硬件配置,提高了运行的可靠性和应用的灵活性。PLC的I/O地址分配见图4(a)所示,简化梯形图如图4(b)所示。其逻辑电路主要完成如下功能,见图4(b)所示。(1)水位信号保持功能水位开关检测分别由PLC的常开接点实现。由于水位由于簧管的常开接点来检测,只有在水面越过该点时闭合,低于该点即断开,因此信号需由PLC保持。(2)水位信号显示、报警、保护功能水位正常时01002动作,使输出绿灯亮。水位低时01003动作,使输出红灯亮,且通过其常闭接点停供水泵。水位高时20000、01000同时启动,使输出黄灯亮(闪光l5秒转平光)且无条件停蓄水泵。 5 操作保护功能设计除了常规保护功能外还增加了人性化操作功能。考虑到泵短时间内的频繁启动对泵运行不利,故设置1分钟内只允许连续启动两次,第三次需延时3分钟后进行,以利泵的散热,延长设备使用寿命,减少功耗。编程时可采用定时器和计数器配合来实现。这项功能在启停调试设备过程中得到检验。6 系统自启动功能设计(1)自启动概述为了方便运行维护人员,有两种情况可以考虑自启动:①系统断电一段时间后恢复供电的自启动,系统在正常运行工况下突然停电时,如果其它检测无异常则来电后可实现自启动,这一点在夜间更为重要,可给维护人员带来方便,此项功能得到了维护人员的认可。②低水位使泵跳闸后水位恢复时的自启动管网用水负荷过大或蓄水水压过低流量减少造成的低水位,会引起供水泵跳闸。在水位恢复正常后可实现自启动。(2)自启功能的实现 如图5所示。图中,“自启动条件”有两个:一是计数器C103接点,二是“水位正常”信号接点。由于计数器C103具有停电记忆特性,所以只要水位恢复正常时01002闭合就可自启动。其过程是:微分继电器20006(13)产生的微分信号由20009继电器保持,再经时间继电器"1"020延时后使其输出的常开接点"1"020(见图4b)接通启动回路,则水泵重新运转。 (3)自启动的预置自启动功能可根据用户需要事先预置,否则,该功能会被屏蔽。设计方案如下:①预置和解除均借用运行状态下的启动按钮。预置时按动启动按钮三下使计数器C103启动,则其常开接点C103闭合。解除自启功能:按住启动按钮1秒,使计数器C103复位或按停止按钮使泵停运的同时也解除了自启动设置。②预置的显示借用水位正常灯(闪光3秒),解除借用高水位报警灯(闪光3秒)。7 结束语上述无塔供水控制系统经投入使用,各项设计功能运行正常,供水质量有了很大提高,单位大功率设备用电量也明显减少。期间,还经历了系统实际异常情况自动处理的考验,如“储水罐满水后的蓄水泵自动跳闸”、“电力网停电来电后的供水泵自启动”、“电源缺相报警”等,这些功能都得到了很好的验证。参考文献[1]张燕宾主编.变频调速应用实践.机械工业出版社,2001.[2]北京四通工控技术有限公司编.FRENIC5000G11S/P11S说明手册.2001.[3]北京鹭岛公司编.OMRON可编程控制器使用手册.2000.[4]高勤主编.电器与PLC控制技术.高等教育出版社,2001. 借鉴一下吧,以前搞了很多,找不到了~不好意思

322 评论

伊可grace

温度相关的毕业设计 ·基于单片机的数字温度计的设计·基于MCS-51数字温度表的设计·单片机的数字温度计设计·基于单片机的空调温度控制器设计·基于数字温度计的多点温度检测系统·设施环境中温度测量电路设计·DS18B20数字温度计的设计·多点温度采集系统与控制器设计·基于PLC和组态王的温度控制系统设计·温度监控系统的设计·用单片机进行温度的控制及LCD显示系统的设计·单片机电加热炉温度控制系统·全氢罩式退火炉温度控制系统·数字温度计的设计·基于单片机AT89C51的语音温度计的设计·基于单片机的多点温度检测系统·基于51单片机的多路温度采集控制系统·基于单片机的数字显示温度系统毕业设计论文·基于MCS51单片机温度控制毕业设计论文·西门子S7-300在温度控制中的应用·燃气锅炉温度的PLC控制系统·焦炉立火道温度软测量模型设计·温度检测控制仪器·智能温度巡检仪的研制·电阻炉温度控制系统·数字温度测控仪的设计·温度测控仪设计·多路温度采集系统设计·多点数字温度巡测仪设计·LCD数字式温度湿度测量计·64点温度监测与控制系统·温度报警器的电路设计与制作·基于单片机的数字温度计的电路设计·全氢煤气罩式炉的温度控制系统的研究与改造·温度检测与控制系统·红外快速检测人体温度装置的设计与研制·具有红外保护的温度自动控制系统的设计·基于单片机的温度测量系统的设计·数字温度计设计·DS18B20温度检测控制·PN结(二极管)温度传感器性能的实验研究·多功能智能化温度测量仪设计·软胶囊的单片机温度控制(硬件设计)·空调温度控制单元的设计·大容量电机的温度保护——软件设计·大容量电机的温度保护 ——硬件电路的设计·基于DS18B20温度传感器的数字温度计设计·热轧带钢卷取温度反馈控制器的设计·基于单片机的温度采集系统设计·多点温度数据采集系统的设计·基于单片机的数字式温度计设计·18B20多路温度采集接口模块·基于单片机的户式中央空调器温度测控系统设计·单片机电阻炉温度控制系统设计·基于单片机的电阻炉温度控制系统设计·基于ARM的嵌入式温度控制系统的设计·基于DS18B20的多点温度巡回检测系统的设计·基于单片机的多点无线温度监控系统·基于MSC1211的温度智能温度传感器·用集成温度传感器组成测温控制系统·室内温度控制报警器·自动温度控制系统·烤箱温度控制系统·基于单片机的电加热炉温度控制系统设计·基于PLC的温度监控系统设计·基于无线传输技术的室温控制系统设计——温度控制器软件设计·温度箱模拟控制系统·基于无线传输技术的室温控制系统设计——温度控制器硬件设计·数字式温度计的设计·温度监控系统设计·基于单片机的电阻炉温度控制系统·基于plc的温度湿度检测和显示系统设计·基于单片机的3KW电炉温度控制系统的设计·腔型肿瘤热疗仪温度控制系统设计·基于AT89S51单片机的数字温度计设计·吹塑薄膜挤出机温度控制与检测系统设计·电加热炉PLC温度自适应控制系统的研究·高压母线温度自动监测装置的设计·高压母线温度自动检测装置·小型热水锅炉单片机温度控制系统·消毒柜单片机温度控制·嵌入式系统在多点温度控制中的应用·单片机温度控制系统·上下限温度报警器的设计·基于单片机的饮水机温度控制系统设计·基于单片机的温度测量系统设计

213 评论

我叫金三世

已把我毕业论文的一部分发给你了,应该是你想要的。还需要其它的说一声

192 评论

相关问答

  • 车床电气控制线路毕业论文

    摘要]电子技术的发展以及国内数控装置的发展使得数控装置的价格走低,特别是经济型数控车系统的价格已经是到达了它的最低点。经济型数控车床在中国的机械加工行业中得到了

    香喷喷的耗子 4人参与回答 2023-12-05
  • 模拟交通灯控制电路设计毕业论文

    单片机交通灯毕业设计 在日常生活中,交通灯是一项必不可少的公共设施,可以维护道路的畅通和交通的秩序。如若交通灯发生故障,那么后果可想而知。因此,交通灯的正常工作

    wangxinrose 5人参与回答 2023-12-11
  • 洗衣机控制电路设计毕业论文

    以下均可参考,满意给我加分,1. 基于FX2N-48MRPLC的交通灯控制 2. 西门子PLC控制的四层电梯毕业设计论文3. PLC电梯控制毕业论

    koko乔纳 5人参与回答 2023-12-07
  • 电气控制线路的设计原则毕业论文

    有必要上这儿来吗,去图书馆的数据库,这样类型的文章多得不得了啊

    Hexe留恋不往 10人参与回答 2023-12-06
  • 控制电路毕业论文

    基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并

    悠悠思忞 4人参与回答 2023-12-05