首页 > 论文发表知识库 > 汽车电控论文参考文献

汽车电控论文参考文献

发布时间:

汽车电控论文参考文献

纯电动汽车论文的参考文献

[1]杨孝纶.电动汽车技术发展趋势及前景(上)[J].汽车科技.2007(06).

[2]杨孝纶,刘晓康,汪斌.电动汽车技术发展趋势及前景[J].变频器世界.2007(07).

[3]杨孝纶.电动汽车技术发展趋势及前景(下)[J].汽车科技.2008(01).

[4]余群明,石小波,王雄波,杨振东.电动汽车技术(5)电动汽车电控系统发展现状及其趋势[J].大众用电.2008(05).

[5]曹秉刚,张传伟,白志峰,李竟成.电动汽车技术进展和发展趋势[J].西安交通大学学报.2004(01).

[1].范从山.电动汽车技术原理及发展展望[J].扬州职业大学学报.2007,03

[2].祝占元.电动汽车[M]?黄河水利出版社.2007,09

[3].高义民.现代电动汽车、混合动力电动汽车和燃料电池车[M].机械工业出版社.2008

[4].陈世全.燃料电池电动汽车[M].清华大学出版社.2005,5

[5].刘长江.充电站之战电动汽车新机遇[J].第一财经周刊.2010,4

[1]陈翌,孔德洋.德国新能源汽车产业政策及其启示[J].德国研究,2014,01:71-81+127.

[2]罗布·恩德勒,沈建苗.客户体验:特斯拉的取胜法宝[J].IT经理世界,2014,18:38-39.

[3]李美霞.基于消费者视角的纯电动汽车购买决策影响因素及市场化研究[D].华东理工大学,2014.

[4]朱成章.对我国发展纯电动汽车的质疑与思考[J].中外能源,2010,09:11-15.

汽车的底盘作为车辆的重要组成部分,汽车底盘的电控技术是汽车底盘安全的技术保障。下面是我为大家精心推荐的汽车底盘电控技术论文,希望能够对您有所帮助。汽车底盘电控技术论文篇一:《汽车底盘构造与维修技术》 摘要:底盘作为车辆的重要组成部分,是汽车正常、安全行驶的有力保障。它包括了传动系、行驶系、转向系和制动系这四大部分,每―音B分都有其特殊的功能。在当前汽车越来越普及同时频频因车辆维修不及时、不到位而出现安全事故的情况下,为了保障驾驶的安全顺利,车主们有必要了解汽车底盘的构造并掌握必要的维修技术。 引言 随着社会经济的发展和人民生活水平的提高,汽车的普及率已经越来越高,在社会生产生活中起着越来越突出的作用。但很多车主在使用汽车的过程中却缺乏相应的汽车构造和维修的知识和技能,这导致了一方面很多车主容易出现使用不当而使汽车出现各种故障,一方面却没法进行必要的、力所能及的维修。另外,虽然有部分车主具有一定的维修技能和 经验 ,但主要侧重于汽车的发动机和车本身,对于底盘的维修则知之甚少,连基本的构造也并不清楚。随着应车辆底盘故障而导致道路事故越来越频繁情况的出现,有必要对汽车底盘的构造及相关的维修技术进行必要的介绍和 总结 ,以便为广大车主们提供一些有益的借鉴。 1 汽车底盘的构造 汽车底盘的构造可分为传动系、行驶系、转向系和制动系这四大部分,下面对它们进行逐一的详细介绍。 传动系 汽车传动系指的是从发动机到驱动车轮之间所有的动力传递装置。其种类有机械传动、液压传统等多种,能满足不同种类、不同功能定位的汽车的需要。传动系的结构包括用于切断或传递发动机向变速器输入动力的离合器、改变运转速度和牵引力的变速器以及改变传输力方向的主减速器等多个部分。其基本作用是将发动机的转矩传递给驱动车轮,同时还必须适应形势条件的需要,改变转矩的大小。以普通的机械式传动系统为例,发动机产生的动力依次经过离合器、变速器和由万向节与传动轴组成的万向传动装置,以及安装在驱动桥中的主减速器、差速器和半轴,最后传到驱动车轮。传动系在汽车行驶中的功能很多,包括最常用到的减速、变速、倒车、中断动力等。同时它还可以有效配合发动机进行各项工作,有力地保障了汽车的行驶安全。 行驶系 行驶系主要由汽车的车架、车桥、车轮和悬架这四大部分组成,它的主要功能是接受传动系传过来的动力,然后再通过驱动轮与路面产生的作用来形成对车辆的牵引力,使汽车有正常行驶的动力。除此之外,行驶系还有承受汽车总重量和地面的反力的作用[2];在路面行驶时,它还可以起到有效缓和路面对车身造成的冲击,减少汽车的震动,保持行驶平稳以及保证汽车操纵稳定等作用。 转向系 汽车转向系是指汽车上用来调整行驶方向的专设机构。主要由转向操纵机构、转向器、转向传动机构组成。汽车转向一般是由驾驶人通过操纵转向系统的机件改变转向车轮的偏转角来实现的,其功能是保证汽车能够按照驾驶人选定的方向行驶和保持汽车稳定的直线行驶。汽车转向系统包括两大类,一类是完全依靠驾驶员操作的转向系统,即机械转向系统;另一类是借助动力来操纵转向的系统,即动力转向系统,当前越来越多的汽车开始采用动力转向系统了。而其中动力转向系统还可以进一步细分为液压动力转向系统和电动助力动力转向系统和气压动力转向系统这三类。 制动系 制动系统是汽车上用来使路面在汽车车轮上面施加一定的压力,从而对其进行一定程度的强制制动的专门装置。它的主要作用包括使汽车在以不同的速度行驶时能按照驾驶员的需要进行强制减速以及停车、使己停驶的汽车在包括坡道在内的各种道路条件下能稳定驻车以及使在下坡路段行驶的汽车的速度保持稳定等。对汽车起制动作用的只能是作用在汽车上且方向与汽车行驶方向相反的外力,由于这些外力的大小和出现的时机都是随机的,不是驾驶员可以控制的,因此要想实现上面的功能,车辆就得加装一些专门的装置。现在很多车主都意识到了制动系统对行车安全性的重要作用,因此在他们车辆的行车制动系一般都安装有制动防抱死系统(ABS),它可以有效控制滑移率,始终使车轮处于转动状态而又有最大的制动力矩,从而为车辆制动时的操纵性和稳定性提供强大的保障。 2 汽车底盘的维修 离合器踏板的检查与调整 首先是测量离合器踏板自由行程。用手轻压离合器踏板,并在感到有阻力时用直板尺测量踏板的下降距离。其次是调整离合器踏板自由行程。松开锁止螺母并转动推杆,调整后紧固锁止螺母。再次是测量离合器踏板行程。将离合器踏板踩到底,用直板尺测量起止位置之间的距离。最后是调整离合器踏板的行程。松开锁止螺母并转动螺栓。离合器踏板行程调整好后紧固锁止螺母。 转向横拉杆球节的更换(建议左右同时更换) 首先是转向横拉杆外球节的拆卸。拆卸车轮,标记转向横拉杆后拆卸外球螺母,并用球节拆卸工具KM-507-B从转向节上断开外球节。松开转向横拉杆调整螺母,通过扭动从转向横拉杆上拆下外球节。其次是转向横拉杆的安装。对准转向横拉杆上的标记,将调整螺母重新定位。通过扭动将外球节安装到转向横拉杆上,然后将外球节连接到转向节上。接着是调整前轮前束,紧固转向横拉杆外球节调整螺母。再次是转向横拉杆内球节的拆卸。依次拆卸车轮、转向横拉杆外球节、防尘套固定夹、防尘套和转向横拉杆内球节。最后是转向横拉杆内球节的安装。第一步是安装转向横拉杆内球节并紧固。接着依次安装转向器防尘套、防尘套固定夹、转向横拉杆外球节和车轮。 空挡起动开关的检查和调整 空挡起动开关的检查 第一步是施加驻车制动并将点火开关置于ON位置。第二步是踩下制动踏板,检查并确认换挡杆在N或P位置时发动机能起动看,而在其他位置时不能起动。最后是检查并确认当换挡杆在R位置时倒车灯点亮,倒挡警告蜂鸣器鸣响,但在其他位置不起作用。如果发现故障,则应检查空挡起动开关的导通性。 空挡起动开关的调整 第一步是松开空挡起动开关的螺栓,并将换挡杆置于N位置。然后将凹槽与空挡基准线对准,将开关固定到位后再拧紧两个螺栓。力矩为。调整完成后进行开关工作情况检查。 前减震器的更换 前减震器的更换分为四个部分。 前支柱总成的拆卸 拆卸支柱上盖和螺母,举升并妥善支承车辆后拆卸轮胎。在装备防抱死制动系统(ABS)的车辆上从支柱总成上断开ABS传感器线路;在从支柱总成的固定架上拆卸完制动油管后接着拆卸稳定连杆至支柱总成螺母并断开稳定连杆接下去。拆卸转向节至支柱总成螺母和螺栓,以便断开转向节。最后就可以拆卸支柱总成了。 前减震器的分解 拆卸支柱总成后将支柱总成固定到弹簧压缩工具上,确保挂钩正确支撑在支柱弹簧上。接着用弹簧压缩工具压缩前弹簧,并用开口扳手握住螺纹活塞杆,同时用双环扳手拆卸活塞螺母和垫圈,拆卸时速度要快。接下去是拆卸上支柱座、座轴承、上弹簧座、上环减震垫和空心 保险 杠,拆完这些后就松开弹簧和拆卸弹簧及下环减震垫。 前减震器的组装 安装下环减震垫和弹簧。用弹簧压缩工具KM-329-A压缩弹簧。接着是安装空心保险杠、上环减震垫、前弹簧定位器、上弹簧座、上支柱座和座轴承并确保上弹簧座卡在前弹簧定位器上。完成上述步骤后就开始安装活塞杆螺母并紧固,最后是松开弹簧压缩工具。 前支柱总成的安装 第一步是安装支柱总成,然后是安装转向节至支柱总成螺母和螺栓,将支柱总成连接到转向节上。紧固转向节至支柱总成螺母和螺栓。接着连接稳定连杆至支柱总成螺母,将稳定连杆连接到支柱总成并紧固稳定连杆至支柱螺母。完成这些后便将制动器油管安装到支柱总成固定架上,如果车辆上安装有ABS,要将ABS传感器的线路连接到支柱总成上。然后是安装车轮并降下车辆。最后是安装支柱总成至车身的固定螺母,紧固支柱总成至车身螺母。 3 结语 随着汽车在人们生活中的应用越来越广,起的作用越来越大。为了更好地发挥它的作用,有必要掌握一定的汽车维修技术。尤其是号称汽车第二心脏的底盘,更要加强对其构造结构的了解,并掌握一定的维修技术。 参考文献: [1]小乐.底盘支撑起一片移动的天空[J].汽车与安全,2012(07):13 15. [2]林晓伟.探究汽车底盘的保养与维修[J].科技致富向导,2013(18):33 37. [3]马国宸.基于分层式结构汽车底盘系统集成控制研究[D].浙江大学2011:33 39. 汽车底盘电控技术论文篇二:《试谈汽车底盘新控制技术》 摘要 :随着汽车技术的发展,出现了各种针对汽车不同的功能而设计的控制器,汽车底盘新控制的发展突飞猛进,很大程度上从整体改善了车辆的性能,保证汽车的稳定性和耐耗性。本文通过对汽车底盘不断发展的新控制技术的分析,指出了这些新控制技术对汽车的安全性、动力性、操作稳定性等方面的重要作用,希望这些汽车底盘新控制技术的应用可以进一步促进汽车性能的加强和提高。 关键词: 汽车底盘;控制技术;线控技术;电子化技术 随着汽车行业的飞速发展,越来越多的新技术应用到了汽车上,汽车底盘控制技术不断翻新,使汽车的使用性能不断提高。目前汽车底盘的新技术主要包括线控制动系统、主动悬架控制系统等,这些最新的研究和发展趋势是利用高速网络将各种控制系统连为一个整体,形成了总体的控制系统,大大提高了汽车的安全主动性、机动性和舒适感。 1 汽车底盘的电子化技术 电子稳定控制系统(ESP) 车身电子稳定系统(Electronic Stability Program,简称ESP)主要由转向传感器、车轮传感器、侧滑传感器、横向加速度传感器、转向盘、制动踏板传感器等组成。 ESP系统属于汽车主动安全性控制系统,其中的各种传感器用来监控汽车的形式状态和司机的操控动作,使电脑对汽车失稳的程度进行精确计算,并得到恢复稳定行驶的调节参数,当汽车由于路面附着力发生异常变化,出现失稳状态,或者是由于司机操控不当,出现失稳状态时,可以通过ESP系统进行调控,有效的抑制前后轮的侧滑,解决由于转向不足和转向过度造成的失稳问题。ESP系统实际上使智能主动防滑稳定系统的最高形式,它可以使汽车始终在惯性力和行驶方向一致的状态下进行操控和行驶,及时抑制汽车侧滑失控,降低侧向碰撞机率,避免发生意外事故。 全电路制动系统(BBW) BBW系统是一种全新的制动模式,它的系统结构包括电能制动器、控制单元、电子制动踏板、连接电线等等。全电路制动系统是一种新型的智能化制动系统,它采用嵌入式总线技术,可以与防抱死制动系统、牵引力控制系统等汽车主动安全系统进行协同工作,通过优化微处理器中的控制算法,精确的调整制动系统的工作过程,从而提高车辆的制动效果,加强汽车的制动安全性能。BBW系统是一个新生事物,有着传统制动系统无可比拟的诸多优势,能够较大幅度的提高汽车的安全形势性能,虽然目前BBW系统的投入使用还很有限,但是,随着汽车界对BBW系统的兴趣日渐高涨,BBW系统必将迅速在汽车上推广,最终取代中小型车辆上的传统液压制动系统。 汽车悬架控制系统 洗车悬架控制系统主要包括主动悬架阻尼器控制系统(ADC)和主动横向稳定器(ARC)。ADC由电子控制单元、CAN、4个车轮垂直加速度传感器等组成,可以对阻尼器比例阀进行相应的调节,自动调节车高,抑制车辆的变化等,使汽车的悬架系统能更好的保证汽车的舒适性、安全性和稳定性。ARC主要是主动然稳定杆的左右两端作垂直方向的相对位移,使车身的侧倾角接近零,以提高汽车的舒适性,由于汽车前后的两个主动稳定杆可以调节车声的侧倾力矩的分配比例,从而可以有效调节汽车的动力特性,提高了汽车的安全性和机动性。 2 汽车底盘线控技术 所谓线控就是指用电子信号的传送取代过去由机械、液压或气动的系统连接的部分,如换档连杆、转向器传动机构等,它不仅是取代连接,而且包括操纵机构和操纵方式也发生了变化,这种技术的应用,将改变汽车的传统结构。线控技术的结构简单,不仅减少了制造成本,同时也减少了底盘所需的空间,增加了乘坐空间,而且可以进行灵敏的控制。由于线控技术是通过电动机驱动的,在电动机反转的时候则变成了发电机,那么在制动过程中,就会有一部分能量转化为电能储存起来,可以通过GPS的处理,由卫星直接提供控制信号,这样,既为汽车的防盗提供了保障,又为实现无人驾驶提供了技术支持。当前,线控技术的应用还不是十分的广泛,但是其发展空间却是非常广阔,随着电子设备可靠性的提高和相应技术的发展,将来对线控技术的应用一定会更广泛。 3 汽车底盘集成化技术 ABS/ASR/ESP的集成化 ABS/ASR装置的集成成功的解决了汽车在制动和驱动时的方向稳定性问题,但是,对于汽车转向行驶时的方向稳定性问题还是没有保障。而ESP的传感器看可以用来监控汽车的形式状态和驾驶者的操控动作,从而刹住车轮,为汽车校正行驶方向,保证率汽车转向时可以维持稳定。所以ABS/ASR/ESP集成系统的应用,在制动、加速和转向方面都极大的满足了驾驶员的稳定性要求,对汽车的主动行驶安全有着较大的贡献。 ABS/ASP/ACC的集成化 在ABS/ASR电子控制装置硬件的基础上,可以有效的增加接受车距传感器信号的电子电路和ACC常闭式及常开式进油电磁阀电子驱动电路,在已有的ABS控制模块和ASR控制模块的基础上增加一个ACC控制模块,与ABS/ASR电子控制模块进行相应的融合,可以实时的处理、计算和确定汽车的形式状态和车轮的转动状态。三者的集成化具有优先支持驾驶员操作的功能和优先工作的功能。 4 汽车底盘网络化技术 在目前的汽车发展过程中,几乎每辆汽车上都是机械、电子和信息一体化装置,而且在系统中电子和信息部分所起的作用也越来越重要。随着汽车电子装置的不断增加,减少线束是一个关键问题,线路的重量和所占的空间都会降低效率,所以基于串行通信传输的网络结构必然成为一种趋势选择。目前汽车底盘的网络化找那个应用比较成熟的有CAN总线等,而无线局域网络在汽车底盘上的应用也在进一步的探索中,蓝牙技术作为一种新的短距离无线通信技术标准,在汽车底盘控制系统的应用中有着巨大的市场潜力,又由于其相对低廉的成本和简便的使用,得到了汽车业界的一致认可,在未来汽车业发展中的应用不可限量。 5 结束语 随着汽车底盘新控制技术在汽车上的应用,汽车业的发展越来越繁荣,汽车的性能也不断的提高,其安全性和稳定性更是得到了巨大的改进,汽车底盘新技术的应用,极大的促进了汽车业的发展,带来了巨大的经济效益和社会效益。 参考文献 [1]陈祯福.汽车底盘控制技术的现状和发展趋势[J].汽车工程,2007,02. [2]宗长富,刘凯.汽车线控驱动技术的发展[J].汽车技术,2007,03. [3]邱官升,刘茜.汽车安全的底盘新技术[J].硅谷,2010,17. 汽车底盘电控技术论文篇三:《汽车底盘电控系统集成控制策略》 摘 要:汽车底盘电控系统对于汽车运行安全和稳定具有极其重要的作用,实现集成控制有利于提高其性能。本文针对汽车底盘电控系统,从防抱死系统、电子稳定程序和主动悬架系统三个方面对其进行了介绍,然后从分布式集成控制、总判决机制和控制模型三个方面阐述了汽车底盘电控系统集成控制的具体策略,希望可以对相关研究工作起到一定参考。 关键词:汽车底盘;电控系统;集成控制 0 引言 近些年,屡屡见诸报端的汽车安全事故给社会造成了较大影响,其中一部分原因是人为因素导致,另一部分原因则是汽车自身质量问题引起的。所以,必须对汽车自身质量予以提升,同时还需在底盘系统的设计上加强其集成化和智能化,以此避免人为因素造成的扰动。 1 汽车底盘电控系统 ABS防抱死系统 在汽车的运行过程中,对车轮传动状态的控制是非常关键的,一旦出现紧急情况,若是对车轮传动无法形成及时控制,就可能导致安全问题产生。ABS防抱死系统可以在车轮传动控制上发挥出非常重要的作用,其通过在车轮上设置的传感器对车轮抱死信号进行及时传递,对应的控制器在收到信号之后就可以及时对车轮制动缸的油压进行降低,以此实现制动力矩的减小。在一段时间之后,信号操作完成,制动力矩就可以逐渐恢复。利用这样的方式对汽车车轮进行控制,能够有效避免汽车出现无法控制或是侧滑的问题,保证汽车的安全。 ESP电子稳定程序 就电子稳定程序的基本组成说来,主要是由加速防滑控制、制动辅助和防抱死制动这三个系统组成的,其表现出了明显的综合性特征。该系统主要是通过传感器将各部分的信息进行传递分析,再凭借内部系统,计算并且发出正确的指令,实现对汽车状态的调整,确保车辆能够保持平衡的运动状态。一般说来,车轮传感器、转向传感器、横向加速器以及侧滑传感器等共同组成了ESP,对车辆各部分状态可以实现全面监测,并且根据相应的信息对汽车实现控制。如此,可以在最大程度上确保汽车的运行过程能够保持稳定,不会出现侧翻、甩尾或是跑偏的问题。 ASS主动悬架系统 悬架系统的存在,最为主要的目的就是实现减震,确保汽车运行的平稳。一般,主动悬架作为直接里发生器,能够对输入和输出的信息形成有效反馈和控制,实现高质量的减震。其基本要求是将动作器形成的力与其他力的控制信号保持一致状态,以便能够实现更好的信息收集和跟踪,为汽车平稳运行提供保障。ASS主动悬架系统存在一定的控制复杂性,需要综合判断多方面的情况,主要涉及到弹簧刚度、轮胎刚度、悬架动力、悬下质量以及路面平整度等。对这些信息进行收集分析,再得出合理的控制指令,根据计算结果,控制指令可以分为最优控制、预测控制以及自适应控制等多个部分。 2 汽车底盘电控系统集成控制 分布式集成控制 分布式集成控制,通过情况下说来就是实现分层递进控制,把高层先进 方法 和不精确的方法统一结合起来,形成一种递进式的控制方式,可以对多个子系统实现分别控制和统一管理。一方面,分布式集成控制能够在最大程度上实现资源整合的合理性以及全面性。另一方面,分布式集成控制也可以实现不同子系统之间的相互交流,避免不同子系统之间出现矛盾或是冲突,对汽车整体运行控制造成影响。对于汽车底盘电控系统的集成控制而言,制动与转向的集成控制是比较关键的,也是直接关系到汽车操作的核心控制。通过对车辆制动和转向的深入研究发现,通过最优控制技术实现控制,会导致系统的线形复杂度上升,不利于系统运行的稳定和高效率。对此,笔者认为可以通过预测模型控制手段,在MPC的基础上设计对应的集成控制器,将AFS系统和ESC系统集成起来,实现集成控制的目的。预测模型控制能够对不确定环境的干扰和模型自身误差实现有效克服,并且能够表现出非常良好的线性。 总判决机制 对于车辆本身而言,其存在多个不同的系统,而且各个系统之间存在一定的差别。这一差别的存在,就使得对不同子系统进行控制时,可能出现一定的控制矛盾,会对整个系统的控制产生较为严重的影响。因此,需要对总体控制构建总判决机制,以此对不同系统的控制关系进行理顺,避免出现控制冲突的问题。在总判决机制的构建上,需要结合汽车各个控制系统的实际情况,对各个控制系统进行协调,使其能够高效实现相互配合,确保汽车整体控制,实现稳定安全的运行控制。 构建汽车底盘电控系统集成模型 要实现集成控制,首先需要设立集成控制模型。在进行模型设立的过程中,一般可以分为三步进行。第一,对模型参数进行合理选择和设置。由于汽车系统存在比较大的复杂性,各个微小系统包含了诸多元件。要想集成控制模型发挥出切实高效的控制作用,就必须对各个子系统的参数进行合理设置,保证其合理可靠,以便集成控制模型能够满足控制需求。第二,依照确定的系统参数进行模型仿真,这可以通过对汽车系统不同部分的相关运行数据进行采集和传递,将其输入到模型之中进行仿真。通过计算可以得出对应的结果,然后对计算结果进行判定。如果结果超出允许范围,就需要对控制 措施 进行调整,使其回归到正常区间。若是结构处在允许范围内,则说明控制措施合理,可以对其进行进一步优化。最后,需要对一些实际场景进行仿真。汽车底盘电控系统的集成化就是要是汽车在遭遇实际情况时能够表现出良好的控制性能。因此,可以预设一些实际场景,将其转化为相关的参数,输入到模型之中进行仿真,从而得出具体的结果,以此判断集成系统的实际控制性能。 3 结束语 对汽车底盘电控系统进行集成控制构建,需要在明确底盘电控系统的基础上,针对性的通过分布式集成控制、设立总判决机制和模型仿真这些环节,逐一落实集成控制在底盘电控系统中的具体应用,以此实现底盘电控系统的集成化,使其能够确保汽车控制的稳定和安全。 参考文献: [1]陈林,别玉娟.面向主动安全的汽车底盘集成控制策略研究[J].河北农机,2015(01):52-53. [2]张进生.浅谈汽车底盘电控系统集成控制策略研究[J].南方农机,2015(08):37-38. 猜你喜欢: 1. 汽车电子技术论文 2. 发动机电控技术论文 3. 电动汽车技术论文 4. 汽车can总线技术论文 5. 浅谈汽车车载网络的应用论文

汽车论文参考文献

在日常学习和工作中,许多人都有过写论文的经历,对论文都不陌生吧,论文是学术界进行成果交流的工具。写起论文来就毫无头绪?以下是我整理的汽车论文参考文献,欢迎大家分享。

1、汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

2、中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

3、汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

4、汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

5、合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

6、哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

7、汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

8、我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

9、汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

10、湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

11、丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

12、朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1]陈清泉, 詹宜君. 21 世纪的绿色交通工具-电动汽车. 北京:清华大学出版社, 2001

[2]靳立强, 王庆年, 宋传学. 电动轮驱动汽车动力学仿真模型及试验验证. 吉林大学学报(工学版),2008, 37(4): 745-750

[3]张媛媛. 采用电动轮驱动的电动汽车转矩协调控制研究. 吉林大学博士学位论文, 2009

[4]喻凡, 林逸. 汽车系统动力学. 北京:机械工业出版社, 2012

[5]李白娜. 汽车操纵稳定性的仿真分析研究. 华中科技大学硕士学位论文, 2006

[6]韩力群. 人工神经网络理论/设计及应用. 北京:化学工业出版社, 2007

[7]郭孔辉. 汽车操纵动力学原理. 南京: 江苏科学技术出版社, 2011

[8]曹秉刚, 张传伟, 白志峰等. 电动汽车技术进展和发展趋势. 西安交通大学学报, 2004, 38(1): 1-5

[9]余志生. 汽车理论. 北京:机械工业出版社, 2011

[10]房阳. 汽车操纵稳定性的仿真研究. 辽宁工程技术大学硕士学位论文, 2011

[11]金陶胜,城市道路汽车尾气污染扩散模式研究及其GIS实现[R],2000第五期

[12]何东全、郝吉明、傅立新等,应用OSPM模式进行澳门街区峡谷污染评价[R],环境科学学报,1999,19(3),256-261

[13]廖玉麟:数学物理方程,华中理工大学出版社,1995年第一版

[14]吕先进,时间序列关联维数计算方法[J],系统工程,2002(7): 77-80

[15]武喜怀,汽车尾气对人类健康的危害[J],内蒙古石油化工,2007(5) :69270.

[16]张起森、张亚平,道路通行能力分析,人民交通出版社,2002(5),156-159

[17]王红云,浅谈防治城市汽车尾气污染之责任[J],环境教育,2008(7) : 75276.

[18]熊慕慕,机动车尾气排放与大气污染[J].南阳师范学院学报,2007(6):43.

[19]丁信伟,王淑兰,徐国庆,可燃及毒性气体泄漏扩散研究综述[J],化学工业与工程,1999, 16(2) : 118-122.

[1]杨孝纶.电动汽车技术发展趋势及前景(上)[J].汽车科技.2007(06).

[2]杨孝纶,刘晓康,汪斌.电动汽车技术发展趋势及前景[J].变频器世界.2007(07).

[3]杨孝纶.电动汽车技术发展趋势及前景(下)[J].汽车科技.2008(01).

[4]余群明,石小波,王雄波,杨振东.电动汽车技术(5)电动汽车电控系统发展现状及其趋势[J].大众用电.2008(05).

[5]曹秉刚,张传伟,白志峰,李竟成.电动汽车技术进展和发展趋势[J].西安交通大学学报.2004(01).

[1].范从山.电动汽车技术原理及发展展望[J].扬州职业大学学报.2007,03

[2].祝占元.电动汽车[M]?黄河水利出版社.2007,09

[3].高义民.现代电动汽车、混合动力电动汽车和燃料电池车[M].机械工业出版社.2008

[4].陈世全.燃料电池电动汽车[M].清华大学出版社.2005,5

[5].刘长江.充电站之战电动汽车新机遇[J].第一财经周刊.2010,4

[1]陈翌,孔德洋.德国新能源汽车产业政策及其启示[J].德国研究,2014,01:71-81+127.

[2]罗布·恩德勒,沈建苗.客户体验:特斯拉的取胜法宝[J].IT经理世界,2014,18:38-39.

[3]李美霞.基于消费者视角的纯电动汽车购买决策影响因素及市场化研究[D].华东理工大学,2014.

[4]朱成章.对我国发展纯电动汽车的质疑与思考[J].中外能源,2010,09:11-15.

[1]姚时俊.汽车美容与装饰[M].辽宁:辽宁科学技术出版社.

[2]熊靓.黄金产业汽车美容养护[J].中国科技财富.

[3]朱为国.我国汽车服务业的发展和现状[J].北京汽车.

[4]耿莉敏,我国汽车美容业的现状及存在问题分析,技术经济,.

[5]姚时俊,闫彬,汽车美容,机械工业出版社,

[6]赵伟,浅谈我国汽车美容行业的现状及发展前景,科学时代,.

[7]王永茂,中国后汽车市场的发展现状与趋势,青岛职业技术学院学报,.

[1]孔晓敏.6S管理探索与思考.现代商贸工业,2010.

[2]韩典.连锁超市品类优化管理特征及关键点分析.现代商贸工业,2011.

[3]张明泉,李超.6S现场精细化管理评价体系的研究.理论纵横,2011.

[4]魏仁干.基于顾客满意的多项目排队问题研究.湖北汽车工业学院学报,2011-04.

[5]陈俊宁.社区便利店营销策略分析.湖南财经高等专科学校学报,2010.

[6]周勇.中国便利店的`当下困境与发展潜力,上海商学院学报,2007.

[7]胡艳英.美陈展示-超市的新营销策略.商场现代化,2008,4.

[8]周越.浅析大型超市的卖场布局和商品陈列设计科学化.物流工程与管理,2011.

[1]林文立.浅析汽车美容装饰现状与发展.《大众汽车》,2014年1期.

[2]薛振刚.浅谈汽车美容装饰的发展趋势.《中外企业家》,2012年17期.

[3]晏承平.浅谈亮洁汽车美容店服务营销优化策略.《农家科技(下旬刊)》,2013年9期.

[4]覃维献.汽车美容[M].北京:北京理工大学出版社,2009:14.

[5]潘家华,魏后凯.中国城市发展报告[M].北京:社会科学文献出版社,2014:128.

[6]樊伟伟.汽车美容与汽车用品店经营全攻略[M].北京:中国经济出版社,2006:97.

[1]朱则刚.我国的汽车销售及其未来趋势[J].城市车辆,2008(08)

[2]马文斌.汽车销售的影响因素及应对策略[J].黑龙江科技信息,2013(26)

[3]王蕾.我国乘用车市场影响因素探析[J].价格理论与实践,2010,(5)

[4]王瑶.市场营销基础实训与指导[M].中国经济出版社,2009.

[5]刘怀连.高职汽车营销专业职业技能培养研究与实践[J].科技信息,2008(1).

[6]寇恩大.汽车营销专业实践教学问题浅析[J].黑龙江科技信息,2011(28).

[7]霍亚楼.汽车营销实训(高职高专市场营销专业)[M].中国劳动社会保障出版社,.

[1]李磊.汽车4S店经营管理现状与对策研究[D].重庆交通大学,2011.

[2]陈皓颖.简析汽车4S店的财务管理[J].中国总会计师,2010,12:153-154.

[3]瞿曼丽.试论汽车4S店财务管理的重点[J].行政事业资产与财务,2013,20:175-176.

[4]雷云华.汽车4S店财务监管的重点难点及应对措施[J].企业导报,2014,05:55-56.

[5]李磊,简晓春.汽车4S店经营管理现状与对策研究[J].科技信息,2011,17:499-500.

[1]韩通.我国汽车销售市场与展望[C].河南省汽车工程学会第二届科研学术研讨会论文集,2009,9:175-178.

[2]苏晖.目前汽车销售市场现状及变化情况分析[C].21世纪中国汽配市场(三),2005,12:139-157.

[3]韩旭萍.浅析我国汽车销售模式的现状及其创新方向[J].新课程(教育学术),2011,2:1.

[4]马春阳,侯建坤.汽车销售新模式-4S店集群[J].上海汽车,2007,11:32-35.

[5]曹献存.我国汽车销售模式现状及其发展趋势[J].河南商业高等专科学校学报,2006,3:1-4.

[6]杨伟龙.博客营销建立、管理、活用[M].中国人民大学出版社,

[1]叶旋.论实训基地文化建设[J].湖北经济学院报(人文社会科学版),2009.

[2]范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3]罗婷劼,周霞.浅谈职业意识教育与汽车实训基地文化建设[J].中小企业管理与科技,2009.

[4]吴雄彪,张雁平,花有清.论校内实训基地文化建设[J].实验技术与管理,2008.

[5]叶挺宁.中国汽车产业现状及发展趋势[EB/OL].2008.

[6]王凤兰.现代汽车与汽车文化(第二版)[M].清华大学出版社,2012.

[1]邓闰姝,李小慧,吴广平,关于汽车文化与汽车文明关系的探讨[J],山东大学出版社,2009,(4);51-53

[2]沈爱华,张小妹,朱止平,浅谈汽车文化是促进社会文明进程的一种文化形态[J],职业技术,2010,(14);193

[3]王东林,陈羡矾,黄芝林,汽车文化引领和谐健康的生活方式[J],武汉大学出版社,2010,(4);51-53

[4]沈银涛,张国强,李家辉,汽车文化正从多方面改变着我们的生活世界[J]职业技术学院,2011,(18);193

[5]蓝月晶,占成安,吴成林,汽车文化与汽车文明关系之我见[J]教育学院出版社,2011,(8);51-53

[6]刘百慧,路引明,江止清,对提高汽车文明之我见[J],职业技术,2009,(25);193

[7]陈可明,林小东,袁小顺,汽车文化与汽车文明内在联系研究[J],华南理工学院出版社,2009,(7);51-53

[8]刘玲秀,吴国斌,李佳佳,汽车文化与汽车文明区别分析[J],职业技术学院,2009,(15);193

[1]亨廷顿,文明的冲突与世界秩序的重建(修订版),新华出版社,2010:181~183

[2]郑也夫,轿车文明批判,光明日报,.

[3]顾翔华.繁荣汽车文化,构建和谐汽车社会[J]时代汽车,2013(10)

[4]张国方.论车文化的形成标志及特征[J]四川理工学院学报,2009(02)

[5]赵亚夫.历史教学中的人格教育[J].中学历史教学参考,2002(1-2)

[6]于友西等.历史学科教育学[M].北京:首都师范大学出版社,2000

[7]高立宝.人文教育:高职院校不可忽视的责任--基于一项调查的分析与思考[N].中国教育报,2007-10-01

[8]本书编写组.学会生存--教育世界的今天和明天[M].上海:上海译文出版社,1979

[9]黑格尔.历史哲学[M].北京:三联书店,1956

[1](美)项目管理协会著.项目管理知识体系指南.第3版.卢有杰,王勇译.北京:电子工业出版社,2005,22-27,134-151[4]中国项目管理研究委员会编.中国项理知识体系与国际项目管理专业资质认证标准(C-PMBOK&C-NCB).北京:机械工业出版社,2006,32-36.

[2]陈炜煜,杨婧.项目时间管理理论与探究[J].特区经济,2013(2):221-222.

[3]李跃宇,汪贤裕.项目时间管理及在项目管理软件中的应用.机械工业出版社,

[4]沈莉洁.WBS在项目管理中的应用研究[J].现代经济信息,2013,7:075.

[5]鲁静.汽车制造企业供应商选择与评价研究[D].辽宁工业大学,2014.

[6]曾婷.JMC公司供应商的评价与选择的研究[D].南昌大学,2013.

[7]金林杰.汽车制造企业信息化探讨[J].物流技术,2012.

[8]吴哲敏.信息技术在物流管理中的应用[M].现代商贸工业,2012.

[1]向鹏程,任宏.基于信息不对称的工程项目主体行为三方博弈分析[J].中国工程科学,2010(9)

[2]齐斌.物流业的产业融合和组织创新[D].福州:福建师范大学.2006

[3]徐剑,刘宗秋.物流产业融合、制造业产业链升级及政府策略[J].沈阳工业大学学报,2012(4)

[4]梁军.全球价值链框架下发展中国家产业升级研究[J].天津社会科学,2007(4)

[5]闫稚珩.浅析我国先进机械制造技术的创新及发展趋势[J].科技风,2013(06).

[6]冯春花,钱炜.基于产学研协同创新模式的“机械制造技术基础”课程教学设计[J].科教导刊(下旬),2016(01).

汽车控制电路设计论文参考文献

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层,第三层,第四层的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

△△△△(条的标题,顶格,占一行)

△△△△(顶格,接正文)

△△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

提供一些关于汽车电子技术应用的参考文献,供参考。[1] 刘艳梅. 电子技术在现代汽车上的发展与应用[J]. 中国科技信息, 2006,(01) . [2] 何玉军. 国内外汽车电子技术应用现状[J]. 电子产品世界, 2000,(05) . [3] 孙汯. 现代信息电子技术在汽车上的应用和发展[J]. 上海汽车, 2001,(10) . [4] 边明远,浙静. 现代汽车电子技术应用的发展趋势[J]. 世界汽车, 2000,(03) . [5] 别辉,过学讯. 现代电子技术在汽车上的全面应用[J]. 北京汽车, 2006,(04) . [6] 危明飞,高伟,包艳,魏辉. 电子技术在现代汽车上的应用及发展趋势[J]. 重型汽车, 2005,(06) . [7] 李磊,商达. 现代汽车上电子技术的应用[J]. 现代电子技术, 2004,(08) . [8] 顾晔. 电子控制技术在汽车上的应用[J]. 汽车研究与开发, 2005,(09) . [9] 仲子平 ,余文明. 现代汽车电子控制技术的应用及发展趋势[J]. 现代机械, 2003,(03) . [10] 马桂英,栾英杰. 现代汽车电子控制技术应用与发展[J]. 浙江交通职业技术学院学报, 2001,(02) .

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

汽车电影论文参考文献

汽车论文参考文献

在日常学习和工作中,许多人都有过写论文的经历,对论文都不陌生吧,论文是学术界进行成果交流的工具。写起论文来就毫无头绪?以下是我整理的汽车论文参考文献,欢迎大家分享。

1、汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

2、中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

3、汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

4、汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

5、合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

6、哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

7、汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

8、我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

9、汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

10、湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

11、丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

12、朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1]陈清泉, 詹宜君. 21 世纪的绿色交通工具-电动汽车. 北京:清华大学出版社, 2001

[2]靳立强, 王庆年, 宋传学. 电动轮驱动汽车动力学仿真模型及试验验证. 吉林大学学报(工学版),2008, 37(4): 745-750

[3]张媛媛. 采用电动轮驱动的电动汽车转矩协调控制研究. 吉林大学博士学位论文, 2009

[4]喻凡, 林逸. 汽车系统动力学. 北京:机械工业出版社, 2012

[5]李白娜. 汽车操纵稳定性的仿真分析研究. 华中科技大学硕士学位论文, 2006

[6]韩力群. 人工神经网络理论/设计及应用. 北京:化学工业出版社, 2007

[7]郭孔辉. 汽车操纵动力学原理. 南京: 江苏科学技术出版社, 2011

[8]曹秉刚, 张传伟, 白志峰等. 电动汽车技术进展和发展趋势. 西安交通大学学报, 2004, 38(1): 1-5

[9]余志生. 汽车理论. 北京:机械工业出版社, 2011

[10]房阳. 汽车操纵稳定性的仿真研究. 辽宁工程技术大学硕士学位论文, 2011

[11]金陶胜,城市道路汽车尾气污染扩散模式研究及其GIS实现[R],2000第五期

[12]何东全、郝吉明、傅立新等,应用OSPM模式进行澳门街区峡谷污染评价[R],环境科学学报,1999,19(3),256-261

[13]廖玉麟:数学物理方程,华中理工大学出版社,1995年第一版

[14]吕先进,时间序列关联维数计算方法[J],系统工程,2002(7): 77-80

[15]武喜怀,汽车尾气对人类健康的危害[J],内蒙古石油化工,2007(5) :69270.

[16]张起森、张亚平,道路通行能力分析,人民交通出版社,2002(5),156-159

[17]王红云,浅谈防治城市汽车尾气污染之责任[J],环境教育,2008(7) : 75276.

[18]熊慕慕,机动车尾气排放与大气污染[J].南阳师范学院学报,2007(6):43.

[19]丁信伟,王淑兰,徐国庆,可燃及毒性气体泄漏扩散研究综述[J],化学工业与工程,1999, 16(2) : 118-122.

[1]杨孝纶.电动汽车技术发展趋势及前景(上)[J].汽车科技.2007(06).

[2]杨孝纶,刘晓康,汪斌.电动汽车技术发展趋势及前景[J].变频器世界.2007(07).

[3]杨孝纶.电动汽车技术发展趋势及前景(下)[J].汽车科技.2008(01).

[4]余群明,石小波,王雄波,杨振东.电动汽车技术(5)电动汽车电控系统发展现状及其趋势[J].大众用电.2008(05).

[5]曹秉刚,张传伟,白志峰,李竟成.电动汽车技术进展和发展趋势[J].西安交通大学学报.2004(01).

[1].范从山.电动汽车技术原理及发展展望[J].扬州职业大学学报.2007,03

[2].祝占元.电动汽车[M]?黄河水利出版社.2007,09

[3].高义民.现代电动汽车、混合动力电动汽车和燃料电池车[M].机械工业出版社.2008

[4].陈世全.燃料电池电动汽车[M].清华大学出版社.2005,5

[5].刘长江.充电站之战电动汽车新机遇[J].第一财经周刊.2010,4

[1]陈翌,孔德洋.德国新能源汽车产业政策及其启示[J].德国研究,2014,01:71-81+127.

[2]罗布·恩德勒,沈建苗.客户体验:特斯拉的取胜法宝[J].IT经理世界,2014,18:38-39.

[3]李美霞.基于消费者视角的纯电动汽车购买决策影响因素及市场化研究[D].华东理工大学,2014.

[4]朱成章.对我国发展纯电动汽车的质疑与思考[J].中外能源,2010,09:11-15.

[1]姚时俊.汽车美容与装饰[M].辽宁:辽宁科学技术出版社.

[2]熊靓.黄金产业汽车美容养护[J].中国科技财富.

[3]朱为国.我国汽车服务业的发展和现状[J].北京汽车.

[4]耿莉敏,我国汽车美容业的现状及存在问题分析,技术经济,.

[5]姚时俊,闫彬,汽车美容,机械工业出版社,

[6]赵伟,浅谈我国汽车美容行业的现状及发展前景,科学时代,.

[7]王永茂,中国后汽车市场的发展现状与趋势,青岛职业技术学院学报,.

[1]孔晓敏.6S管理探索与思考.现代商贸工业,2010.

[2]韩典.连锁超市品类优化管理特征及关键点分析.现代商贸工业,2011.

[3]张明泉,李超.6S现场精细化管理评价体系的研究.理论纵横,2011.

[4]魏仁干.基于顾客满意的多项目排队问题研究.湖北汽车工业学院学报,2011-04.

[5]陈俊宁.社区便利店营销策略分析.湖南财经高等专科学校学报,2010.

[6]周勇.中国便利店的`当下困境与发展潜力,上海商学院学报,2007.

[7]胡艳英.美陈展示-超市的新营销策略.商场现代化,2008,4.

[8]周越.浅析大型超市的卖场布局和商品陈列设计科学化.物流工程与管理,2011.

[1]林文立.浅析汽车美容装饰现状与发展.《大众汽车》,2014年1期.

[2]薛振刚.浅谈汽车美容装饰的发展趋势.《中外企业家》,2012年17期.

[3]晏承平.浅谈亮洁汽车美容店服务营销优化策略.《农家科技(下旬刊)》,2013年9期.

[4]覃维献.汽车美容[M].北京:北京理工大学出版社,2009:14.

[5]潘家华,魏后凯.中国城市发展报告[M].北京:社会科学文献出版社,2014:128.

[6]樊伟伟.汽车美容与汽车用品店经营全攻略[M].北京:中国经济出版社,2006:97.

[1]朱则刚.我国的汽车销售及其未来趋势[J].城市车辆,2008(08)

[2]马文斌.汽车销售的影响因素及应对策略[J].黑龙江科技信息,2013(26)

[3]王蕾.我国乘用车市场影响因素探析[J].价格理论与实践,2010,(5)

[4]王瑶.市场营销基础实训与指导[M].中国经济出版社,2009.

[5]刘怀连.高职汽车营销专业职业技能培养研究与实践[J].科技信息,2008(1).

[6]寇恩大.汽车营销专业实践教学问题浅析[J].黑龙江科技信息,2011(28).

[7]霍亚楼.汽车营销实训(高职高专市场营销专业)[M].中国劳动社会保障出版社,.

[1]李磊.汽车4S店经营管理现状与对策研究[D].重庆交通大学,2011.

[2]陈皓颖.简析汽车4S店的财务管理[J].中国总会计师,2010,12:153-154.

[3]瞿曼丽.试论汽车4S店财务管理的重点[J].行政事业资产与财务,2013,20:175-176.

[4]雷云华.汽车4S店财务监管的重点难点及应对措施[J].企业导报,2014,05:55-56.

[5]李磊,简晓春.汽车4S店经营管理现状与对策研究[J].科技信息,2011,17:499-500.

[1]韩通.我国汽车销售市场与展望[C].河南省汽车工程学会第二届科研学术研讨会论文集,2009,9:175-178.

[2]苏晖.目前汽车销售市场现状及变化情况分析[C].21世纪中国汽配市场(三),2005,12:139-157.

[3]韩旭萍.浅析我国汽车销售模式的现状及其创新方向[J].新课程(教育学术),2011,2:1.

[4]马春阳,侯建坤.汽车销售新模式-4S店集群[J].上海汽车,2007,11:32-35.

[5]曹献存.我国汽车销售模式现状及其发展趋势[J].河南商业高等专科学校学报,2006,3:1-4.

[6]杨伟龙.博客营销建立、管理、活用[M].中国人民大学出版社,

[1]叶旋.论实训基地文化建设[J].湖北经济学院报(人文社会科学版),2009.

[2]范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3]罗婷劼,周霞.浅谈职业意识教育与汽车实训基地文化建设[J].中小企业管理与科技,2009.

[4]吴雄彪,张雁平,花有清.论校内实训基地文化建设[J].实验技术与管理,2008.

[5]叶挺宁.中国汽车产业现状及发展趋势[EB/OL].2008.

[6]王凤兰.现代汽车与汽车文化(第二版)[M].清华大学出版社,2012.

[1]邓闰姝,李小慧,吴广平,关于汽车文化与汽车文明关系的探讨[J],山东大学出版社,2009,(4);51-53

[2]沈爱华,张小妹,朱止平,浅谈汽车文化是促进社会文明进程的一种文化形态[J],职业技术,2010,(14);193

[3]王东林,陈羡矾,黄芝林,汽车文化引领和谐健康的生活方式[J],武汉大学出版社,2010,(4);51-53

[4]沈银涛,张国强,李家辉,汽车文化正从多方面改变着我们的生活世界[J]职业技术学院,2011,(18);193

[5]蓝月晶,占成安,吴成林,汽车文化与汽车文明关系之我见[J]教育学院出版社,2011,(8);51-53

[6]刘百慧,路引明,江止清,对提高汽车文明之我见[J],职业技术,2009,(25);193

[7]陈可明,林小东,袁小顺,汽车文化与汽车文明内在联系研究[J],华南理工学院出版社,2009,(7);51-53

[8]刘玲秀,吴国斌,李佳佳,汽车文化与汽车文明区别分析[J],职业技术学院,2009,(15);193

[1]亨廷顿,文明的冲突与世界秩序的重建(修订版),新华出版社,2010:181~183

[2]郑也夫,轿车文明批判,光明日报,.

[3]顾翔华.繁荣汽车文化,构建和谐汽车社会[J]时代汽车,2013(10)

[4]张国方.论车文化的形成标志及特征[J]四川理工学院学报,2009(02)

[5]赵亚夫.历史教学中的人格教育[J].中学历史教学参考,2002(1-2)

[6]于友西等.历史学科教育学[M].北京:首都师范大学出版社,2000

[7]高立宝.人文教育:高职院校不可忽视的责任--基于一项调查的分析与思考[N].中国教育报,2007-10-01

[8]本书编写组.学会生存--教育世界的今天和明天[M].上海:上海译文出版社,1979

[9]黑格尔.历史哲学[M].北京:三联书店,1956

[1](美)项目管理协会著.项目管理知识体系指南.第3版.卢有杰,王勇译.北京:电子工业出版社,2005,22-27,134-151[4]中国项目管理研究委员会编.中国项理知识体系与国际项目管理专业资质认证标准(C-PMBOK&C-NCB).北京:机械工业出版社,2006,32-36.

[2]陈炜煜,杨婧.项目时间管理理论与探究[J].特区经济,2013(2):221-222.

[3]李跃宇,汪贤裕.项目时间管理及在项目管理软件中的应用.机械工业出版社,

[4]沈莉洁.WBS在项目管理中的应用研究[J].现代经济信息,2013,7:075.

[5]鲁静.汽车制造企业供应商选择与评价研究[D].辽宁工业大学,2014.

[6]曾婷.JMC公司供应商的评价与选择的研究[D].南昌大学,2013.

[7]金林杰.汽车制造企业信息化探讨[J].物流技术,2012.

[8]吴哲敏.信息技术在物流管理中的应用[M].现代商贸工业,2012.

[1]向鹏程,任宏.基于信息不对称的工程项目主体行为三方博弈分析[J].中国工程科学,2010(9)

[2]齐斌.物流业的产业融合和组织创新[D].福州:福建师范大学.2006

[3]徐剑,刘宗秋.物流产业融合、制造业产业链升级及政府策略[J].沈阳工业大学学报,2012(4)

[4]梁军.全球价值链框架下发展中国家产业升级研究[J].天津社会科学,2007(4)

[5]闫稚珩.浅析我国先进机械制造技术的创新及发展趋势[J].科技风,2013(06).

[6]冯春花,钱炜.基于产学研协同创新模式的“机械制造技术基础”课程教学设计[J].科教导刊(下旬),2016(01).

1、论文题目:要求准确、简练、醒目、新颖。2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录)3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。5、论文正文:(1)引言:引言又称前言、序言和导言,用在论文的开头。引言一般要概括地写出作者意图,说明选题的目的和意义,并指出论文写作的范围。引言要短小精悍、紧扣主题。〈2)论文正文:正文是论文的主体,正文应包括论点、论据、论证过程和结论。主体部分包括以下内容:a.提出-论点;b.分析问题-论据和论证;c.解决问题-论证与步骤;d.结论。6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献著录规则》进行。中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息所列参考文献的要求是:(1)所列参考文献应是正式出版物,以便读者考证。(2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。

新能源汽车专业毕业论文参考文献

列出论文参考文献的目的是让读者了解论文研究命题的来龙去脉,便于查找,同时也是尊重前人劳动,对自己的工作有准确的定位。因此这里既有技术问题,也有科学道德问题。如下是我为大家收集的新能源汽车专业毕业论文参考文献,欢迎阅读!

[1]徐枭,王巧凤,周荣,新能源汽车发展主要障碍及其解决方案[J],上海汽车,2009,(5):7—10

[2]杨婕,消费者对电动汽车购买意愿实证研究—基于政府产业政策理论[J],特区经济,2012,(2):302—304

[3]李光,影响我国电动汽车产业发展的关键因素研究[J],武汉理工大学学报,2011,(6):14—18

[4]霍风利,我国发展电动汽车产业的'可行性及对策研究[D],中国海洋大学硕士学位论文,2010:23—27

[5]田萍,新能源汽车是新的经济增长点[J],资源与人居环境,2009,(9):74—76

[6]方海洲,胡研,促进新能源汽车快速发展的税收优惠政策影响分析[J],汽车科技,2009,(3):7—10

[7]国家863电动汽车重大科技专项办公室,全球氢能研发及相关政策调查报告[R],2004

[8]德勤全球制造组,电动车现状与消费者期望之比较[J],全球视角,2011,(1)

[9]曾耀明,史忠良,中外新能源汽车产业政策对比分析[J],企业经济,2011,(2):107—109

[10]李东卫,我国新能源汽车产业的挑战及对策[J],广东经济,2011,(2)

[11]迈克尔·波特,竞争优势[M],北京:华夏出版社,1997:280—317

[12]李大元,低碳经济背景下我国新能源汽车产业发展的对策研究[J],经济纵横,2011

[13]罗少文,我国新能源汽车产业发展战略研究[D],复旦大学硕士学位论文,2008

[14]杨海霞,新能源汽车技术路线落定,中国投资[J],2012,(11)

[15]张海波,我国新能源汽车产业技术路线图研究[D],武汉理工大学硕士学位论文,2012

[16]刘浩华,程杨,中国新能源汽车需求风险关键因素研究[J],科技管理研究,2014,(19)

[17]章荣武,“钻石模型”及其应用:中国船舶工业产业竞争优势分析[D],厦门大学硕士学位,2006

[18]赵亮,BYD公司新能源汽车发展战略研究[D],山东大学硕士学位论文,2013

[19]张坤,安徽汽车产业国际竞争力分析[D],安徽大学硕士学位论文,2011

[20]赵斌,比亚迪新能源汽车消费的影响因素分析[D],中南大学硕士学位论文,2010

[21]顾瑞兰,促进我国新能源汽车产业发展的财税政策研究[D],财政部财政科学研究所博士学位论文,2013

[22]王慧,促进我国新能源汽车产业发展的财税政策研究[D],江西财经大学硕士学位论文,2010

[23]温岳中,基于产业生命周期理论的新能源汽车产业支持政策研究[D],北京交通大学硕士学位论文,2012

[24]方玲,基于成本—效益分析视角的我国新能源汽车产业发展策略研究[D],中南大学商学院硕士学位论文,2013

[25]文凯,借鉴国际经验发展我国新能源汽车产业研究[D],东北财经大学硕士学位论文,2010

[26]陈柳钦,美日欧新能源汽车产业发展的政策支持[J],汽车工程师,2010,(10):22—25

[27]孙浩然,日本新能源汽车产业发展分析[D],吉林大学硕士学位论文,2011

[28]金永花,日本新能源汽车市场推广策略对我国的借鉴[J],东北亚论坛,2012,(3):105—112

[29]高飞,我国电动汽车研发战略联盟模式选择研究[D],河北师范大学硕士学位论文,2012

[30]韩怀玉,我国新能源汽车产业发展的国际比较研究[D],陕西师范大学硕士学位论文,2012

汽车电路参考文献论文

汽车论文参考文献

在日常学习和工作中,许多人都有过写论文的经历,对论文都不陌生吧,论文是学术界进行成果交流的工具。写起论文来就毫无头绪?以下是我整理的汽车论文参考文献,欢迎大家分享。

1、汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

2、中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

3、汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

4、汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

5、合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

6、哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

7、汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

8、我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

9、汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

10、湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

11、丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

12、朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1]陈清泉, 詹宜君. 21 世纪的绿色交通工具-电动汽车. 北京:清华大学出版社, 2001

[2]靳立强, 王庆年, 宋传学. 电动轮驱动汽车动力学仿真模型及试验验证. 吉林大学学报(工学版),2008, 37(4): 745-750

[3]张媛媛. 采用电动轮驱动的电动汽车转矩协调控制研究. 吉林大学博士学位论文, 2009

[4]喻凡, 林逸. 汽车系统动力学. 北京:机械工业出版社, 2012

[5]李白娜. 汽车操纵稳定性的仿真分析研究. 华中科技大学硕士学位论文, 2006

[6]韩力群. 人工神经网络理论/设计及应用. 北京:化学工业出版社, 2007

[7]郭孔辉. 汽车操纵动力学原理. 南京: 江苏科学技术出版社, 2011

[8]曹秉刚, 张传伟, 白志峰等. 电动汽车技术进展和发展趋势. 西安交通大学学报, 2004, 38(1): 1-5

[9]余志生. 汽车理论. 北京:机械工业出版社, 2011

[10]房阳. 汽车操纵稳定性的仿真研究. 辽宁工程技术大学硕士学位论文, 2011

[11]金陶胜,城市道路汽车尾气污染扩散模式研究及其GIS实现[R],2000第五期

[12]何东全、郝吉明、傅立新等,应用OSPM模式进行澳门街区峡谷污染评价[R],环境科学学报,1999,19(3),256-261

[13]廖玉麟:数学物理方程,华中理工大学出版社,1995年第一版

[14]吕先进,时间序列关联维数计算方法[J],系统工程,2002(7): 77-80

[15]武喜怀,汽车尾气对人类健康的危害[J],内蒙古石油化工,2007(5) :69270.

[16]张起森、张亚平,道路通行能力分析,人民交通出版社,2002(5),156-159

[17]王红云,浅谈防治城市汽车尾气污染之责任[J],环境教育,2008(7) : 75276.

[18]熊慕慕,机动车尾气排放与大气污染[J].南阳师范学院学报,2007(6):43.

[19]丁信伟,王淑兰,徐国庆,可燃及毒性气体泄漏扩散研究综述[J],化学工业与工程,1999, 16(2) : 118-122.

[1]杨孝纶.电动汽车技术发展趋势及前景(上)[J].汽车科技.2007(06).

[2]杨孝纶,刘晓康,汪斌.电动汽车技术发展趋势及前景[J].变频器世界.2007(07).

[3]杨孝纶.电动汽车技术发展趋势及前景(下)[J].汽车科技.2008(01).

[4]余群明,石小波,王雄波,杨振东.电动汽车技术(5)电动汽车电控系统发展现状及其趋势[J].大众用电.2008(05).

[5]曹秉刚,张传伟,白志峰,李竟成.电动汽车技术进展和发展趋势[J].西安交通大学学报.2004(01).

[1].范从山.电动汽车技术原理及发展展望[J].扬州职业大学学报.2007,03

[2].祝占元.电动汽车[M]?黄河水利出版社.2007,09

[3].高义民.现代电动汽车、混合动力电动汽车和燃料电池车[M].机械工业出版社.2008

[4].陈世全.燃料电池电动汽车[M].清华大学出版社.2005,5

[5].刘长江.充电站之战电动汽车新机遇[J].第一财经周刊.2010,4

[1]陈翌,孔德洋.德国新能源汽车产业政策及其启示[J].德国研究,2014,01:71-81+127.

[2]罗布·恩德勒,沈建苗.客户体验:特斯拉的取胜法宝[J].IT经理世界,2014,18:38-39.

[3]李美霞.基于消费者视角的纯电动汽车购买决策影响因素及市场化研究[D].华东理工大学,2014.

[4]朱成章.对我国发展纯电动汽车的质疑与思考[J].中外能源,2010,09:11-15.

[1]姚时俊.汽车美容与装饰[M].辽宁:辽宁科学技术出版社.

[2]熊靓.黄金产业汽车美容养护[J].中国科技财富.

[3]朱为国.我国汽车服务业的发展和现状[J].北京汽车.

[4]耿莉敏,我国汽车美容业的现状及存在问题分析,技术经济,.

[5]姚时俊,闫彬,汽车美容,机械工业出版社,

[6]赵伟,浅谈我国汽车美容行业的现状及发展前景,科学时代,.

[7]王永茂,中国后汽车市场的发展现状与趋势,青岛职业技术学院学报,.

[1]孔晓敏.6S管理探索与思考.现代商贸工业,2010.

[2]韩典.连锁超市品类优化管理特征及关键点分析.现代商贸工业,2011.

[3]张明泉,李超.6S现场精细化管理评价体系的研究.理论纵横,2011.

[4]魏仁干.基于顾客满意的多项目排队问题研究.湖北汽车工业学院学报,2011-04.

[5]陈俊宁.社区便利店营销策略分析.湖南财经高等专科学校学报,2010.

[6]周勇.中国便利店的`当下困境与发展潜力,上海商学院学报,2007.

[7]胡艳英.美陈展示-超市的新营销策略.商场现代化,2008,4.

[8]周越.浅析大型超市的卖场布局和商品陈列设计科学化.物流工程与管理,2011.

[1]林文立.浅析汽车美容装饰现状与发展.《大众汽车》,2014年1期.

[2]薛振刚.浅谈汽车美容装饰的发展趋势.《中外企业家》,2012年17期.

[3]晏承平.浅谈亮洁汽车美容店服务营销优化策略.《农家科技(下旬刊)》,2013年9期.

[4]覃维献.汽车美容[M].北京:北京理工大学出版社,2009:14.

[5]潘家华,魏后凯.中国城市发展报告[M].北京:社会科学文献出版社,2014:128.

[6]樊伟伟.汽车美容与汽车用品店经营全攻略[M].北京:中国经济出版社,2006:97.

[1]朱则刚.我国的汽车销售及其未来趋势[J].城市车辆,2008(08)

[2]马文斌.汽车销售的影响因素及应对策略[J].黑龙江科技信息,2013(26)

[3]王蕾.我国乘用车市场影响因素探析[J].价格理论与实践,2010,(5)

[4]王瑶.市场营销基础实训与指导[M].中国经济出版社,2009.

[5]刘怀连.高职汽车营销专业职业技能培养研究与实践[J].科技信息,2008(1).

[6]寇恩大.汽车营销专业实践教学问题浅析[J].黑龙江科技信息,2011(28).

[7]霍亚楼.汽车营销实训(高职高专市场营销专业)[M].中国劳动社会保障出版社,.

[1]李磊.汽车4S店经营管理现状与对策研究[D].重庆交通大学,2011.

[2]陈皓颖.简析汽车4S店的财务管理[J].中国总会计师,2010,12:153-154.

[3]瞿曼丽.试论汽车4S店财务管理的重点[J].行政事业资产与财务,2013,20:175-176.

[4]雷云华.汽车4S店财务监管的重点难点及应对措施[J].企业导报,2014,05:55-56.

[5]李磊,简晓春.汽车4S店经营管理现状与对策研究[J].科技信息,2011,17:499-500.

[1]韩通.我国汽车销售市场与展望[C].河南省汽车工程学会第二届科研学术研讨会论文集,2009,9:175-178.

[2]苏晖.目前汽车销售市场现状及变化情况分析[C].21世纪中国汽配市场(三),2005,12:139-157.

[3]韩旭萍.浅析我国汽车销售模式的现状及其创新方向[J].新课程(教育学术),2011,2:1.

[4]马春阳,侯建坤.汽车销售新模式-4S店集群[J].上海汽车,2007,11:32-35.

[5]曹献存.我国汽车销售模式现状及其发展趋势[J].河南商业高等专科学校学报,2006,3:1-4.

[6]杨伟龙.博客营销建立、管理、活用[M].中国人民大学出版社,

[1]叶旋.论实训基地文化建设[J].湖北经济学院报(人文社会科学版),2009.

[2]范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3]罗婷劼,周霞.浅谈职业意识教育与汽车实训基地文化建设[J].中小企业管理与科技,2009.

[4]吴雄彪,张雁平,花有清.论校内实训基地文化建设[J].实验技术与管理,2008.

[5]叶挺宁.中国汽车产业现状及发展趋势[EB/OL].2008.

[6]王凤兰.现代汽车与汽车文化(第二版)[M].清华大学出版社,2012.

[1]邓闰姝,李小慧,吴广平,关于汽车文化与汽车文明关系的探讨[J],山东大学出版社,2009,(4);51-53

[2]沈爱华,张小妹,朱止平,浅谈汽车文化是促进社会文明进程的一种文化形态[J],职业技术,2010,(14);193

[3]王东林,陈羡矾,黄芝林,汽车文化引领和谐健康的生活方式[J],武汉大学出版社,2010,(4);51-53

[4]沈银涛,张国强,李家辉,汽车文化正从多方面改变着我们的生活世界[J]职业技术学院,2011,(18);193

[5]蓝月晶,占成安,吴成林,汽车文化与汽车文明关系之我见[J]教育学院出版社,2011,(8);51-53

[6]刘百慧,路引明,江止清,对提高汽车文明之我见[J],职业技术,2009,(25);193

[7]陈可明,林小东,袁小顺,汽车文化与汽车文明内在联系研究[J],华南理工学院出版社,2009,(7);51-53

[8]刘玲秀,吴国斌,李佳佳,汽车文化与汽车文明区别分析[J],职业技术学院,2009,(15);193

[1]亨廷顿,文明的冲突与世界秩序的重建(修订版),新华出版社,2010:181~183

[2]郑也夫,轿车文明批判,光明日报,.

[3]顾翔华.繁荣汽车文化,构建和谐汽车社会[J]时代汽车,2013(10)

[4]张国方.论车文化的形成标志及特征[J]四川理工学院学报,2009(02)

[5]赵亚夫.历史教学中的人格教育[J].中学历史教学参考,2002(1-2)

[6]于友西等.历史学科教育学[M].北京:首都师范大学出版社,2000

[7]高立宝.人文教育:高职院校不可忽视的责任--基于一项调查的分析与思考[N].中国教育报,2007-10-01

[8]本书编写组.学会生存--教育世界的今天和明天[M].上海:上海译文出版社,1979

[9]黑格尔.历史哲学[M].北京:三联书店,1956

[1](美)项目管理协会著.项目管理知识体系指南.第3版.卢有杰,王勇译.北京:电子工业出版社,2005,22-27,134-151[4]中国项目管理研究委员会编.中国项理知识体系与国际项目管理专业资质认证标准(C-PMBOK&C-NCB).北京:机械工业出版社,2006,32-36.

[2]陈炜煜,杨婧.项目时间管理理论与探究[J].特区经济,2013(2):221-222.

[3]李跃宇,汪贤裕.项目时间管理及在项目管理软件中的应用.机械工业出版社,

[4]沈莉洁.WBS在项目管理中的应用研究[J].现代经济信息,2013,7:075.

[5]鲁静.汽车制造企业供应商选择与评价研究[D].辽宁工业大学,2014.

[6]曾婷.JMC公司供应商的评价与选择的研究[D].南昌大学,2013.

[7]金林杰.汽车制造企业信息化探讨[J].物流技术,2012.

[8]吴哲敏.信息技术在物流管理中的应用[M].现代商贸工业,2012.

[1]向鹏程,任宏.基于信息不对称的工程项目主体行为三方博弈分析[J].中国工程科学,2010(9)

[2]齐斌.物流业的产业融合和组织创新[D].福州:福建师范大学.2006

[3]徐剑,刘宗秋.物流产业融合、制造业产业链升级及政府策略[J].沈阳工业大学学报,2012(4)

[4]梁军.全球价值链框架下发展中国家产业升级研究[J].天津社会科学,2007(4)

[5]闫稚珩.浅析我国先进机械制造技术的创新及发展趋势[J].科技风,2013(06).

[6]冯春花,钱炜.基于产学研协同创新模式的“机械制造技术基础”课程教学设计[J].科教导刊(下旬),2016(01).

一种数字指示式发动机转速表的电路分析【摘要】首先,简要介绍了发动机转速表的分类;其次,对一种数字指示式发动机转速表的电路进行了分析。在简要介绍组成电路各主要元件的基础上对电路的组成部分:显示控制电路、脉冲信号计数电路、显示电路和电源电路的结构和工作原理进行了初步的分析。最后,给出了整个电路的电路图。1前言 发动机转速表的形式多样,其主要分类如表1所示[1]。图1是参考文献[2]中给出的一种数字指示式发动机转速表的电路简图。下面对这种电路的结构和工作原理进行简单的分析。 表1:发动机转速表的分类 图1:一种数字指示式转速表的电路简图2元器件简介MCT MOS控制晶闸管(MOS CONTROLLED THYRISTOR) MCT是一种功率器件由于其输入阻抗高、开关速度快、高电压和大电流的特性主要应用于功率开关[6]。MCT2是TEXAS公司生产,其等效电路由发光二极管和光控三极管组成(参见电路图),输入输出电压差可以达到,正向输入电流可以达到恒流60mA和峰值3A[12]。MCT2在数字电路中可以用来将高压脉冲信号转换为低压方波信号。4060 带振荡器的14位异步二进制串行计数器(14-STAGE ASYNCHRONOUS BINARY COUNTERS AND OSCILLATORS)4060由两部分电路构成:T触发器组成的14位二进制串行计数器/分频器,其分频系数为16~16348(Q4~Q14);振荡器部分需外接RC或晶体振荡也可直接接外部时钟。用4060可以为数字电路提供标准时间信号等。[3][5][12]4518 双十进制(BCD码)同步计数器(DUAL DECADE COUTER) 4518是由两个独立的计数器单元构成。4518可以通过简单串接成多位计数器。用4518在数字电路中可以作为二进制至BCD码的转换器。[3][12]4511 BCD-七段锁存译码器/驱动器(BCD TO 7 SEGMENT LATCH/DECODER DRIVER) 4511可直接驱动LED。在数字电路中主要和显示器件一起构成计数器的终端显示。[3][4][12]7414 六反相施密特触发器7414是一种特殊的反向器,具有滞后的特性,所以抗干扰能力强。在数字电路中多用于信号整形、震荡电路中。[4]3电路总体思路分析通过对电路的初步分析可以看出电路的总体设计思路是:显示控制电路:由4060和7414等组成。用于控制4518的计数时间、4511对数据的锁存时间,及两者时间上的配合。脉冲信号计数电路:由MCT2、7414和4518组成。用于对点火脉冲信号进行隔离、整形和计数。数字显示电路:由4511、7414和LED组成。用于显示发动机转速,控制数码管的亮度。电源电路:由7805组成。用于为个各电子器件提供稳定电源。由总体设计思路中看出图1所示电路存在的问题:显示数据必须及时反映发动机转速的变化,其显示数据的刷新应在秒左右;在这段时间内仅仅通过对发动机点火脉冲计数是不能反映发动机的转速的,需要对输入脉冲进行倍频[11]。不同汽缸数的发动机单位时间内的点火脉冲数是不同的,即为正确显示发动机转速,脉冲计数时间、气缸数和倍频数应该有一定的折算关系。通过进一步分析我可以得到: (3-1)ne发动机转速,ne*仪表的读数,nc发动机气缸数,nf倍频数,tc脉冲计数时间因为计数时间为秒左右,所以选倍频数为100,则:4缸计数时间为秒;6缸计数时间为秒;8缸计数时间为秒。当然也可以选择固定计数时间改变倍频的方法[11]。图1电路中并没有给出倍频电路,所以是不完整的,不能正确显示发动机的转速。4 电路分析4 1 显示控制电路根据TEXAS公司4060元件手册给出的经验公式(4-1)[12],和图1给出的电阻和电容参数,用Matlab计算得图2(脉冲计数时间和可调电阻值的关系)。由图2可以看出4缸机需要256分频,8缸机需要128分频,6缸机则两者皆可。由4060的分频系数得4缸应选择第14引脚(QH),8缸应选择第6引脚(QG),6缸则两引脚皆可。 (4-1) 图2:4060分频系数的选择对4518计数和4511数据锁存的协调控制。4511第5引脚(LE)用与数据的锁存,当引脚电位为1时锁存数据,当电位为0时刷新。4518第7、15引脚(RST)用于重新计数。设计思想:在上一周期结束时刻先用4511刷新并锁存4518计数数据,然后4518进行重新计数。锁存和计数的周期由4060脉冲周期决定。电路中由三个74C14反向器、电阻和电容组成的“控制脉冲生成和延时电路”实现了此功能。用Protel对电路的仿真见图3,为清楚表示表示信号之间的关系将脉冲时间缩短为3ms。 图3:数据计数和锁存的控制4 2 脉冲信号计数电路点火信号的隔离与整形:发动机点火次极电压约有200~400V,需要用电力器件MCT2进行隔离。图1中信号引入端管脚号为1,接地端管脚号应为2。隔离后的信号反向,所以用反向器校正并整形。MCT2输入和输出端接有电容和二极管,这主要用于对MCT2的保护。[6]100倍频电路的实现:参考文献[3]直接得100倍频电路如图3。4046是锁相环集成电路,常用于频率调制、频率合成等。 图3:100倍频电路的实现4518的多位级连(BE CASCADED IN THE RIPPLE MODE):首级4518的ENABLE端子接高电平;上一级4518的Q4输出接下一级的ENABLE端子;下一级的CLOCK端子接地。[12]4 3 数字显示电路限流电阻的选择:LED为非线性器件其段电压约为2V,工作电流约为200mA。4511输出电压为电源电压(5V),所以限流电阻选150是合适的。数字显示亮度的控制:4511的/BI端电位为0时7段输出都是0(LED熄灭)。本电路采用7414构成多谐振荡器[9],通过改变电阻和电容值获得不同频率的脉冲信号控制LED发光时间进而控制数字显示的亮度。4 4 电源电路电容的选择:三端稳压器的标准接法可以参考相关文献[10],由于电源电路的输入取自发动机蓄电池,所以无需1000u的滤波电容(电解电容)。5 电路总图6 结束语 上面简要分析了电路的结构和工作原理。若要进一步分析和实现该电路,主要的工作有(初步设想):对电路误差进行估算,最终确定元器件的选用和电路形式;局部电路的搭接试验;布线、制版、制作;电路的静态调试、动态调试、标定等。参考文献1. 汽车工程手册 设计篇 北京:人民交通出版社 20012. 李东江 宋良玉 现代汽车电子控制技术 北京:科学技术文献出版社 1998 3. 魏立群 韩华琦 CMOS 4000系列60种常用集成电路的应用 北京:人民邮电出版社 1993 4. 中国集成电路大全 高速CMOS集成电路 北京:国防工业出版社 1995 5. 标准集成电路数据手册 CMOS 4000系列电路 北京:电子工业出版社 1995 6. 中国集成电路大全 电力电子技术与运动控制系统 北京:国防工业出版社 1995 7. 梁延贵 现代集成电路实用手册 数字单元电路转换电路分册 北京:科学技术文献出版社 20028. 夏路易 石宗义 电路原理图与电路板设计教程 北京:北京希望电子出版社 20029. 康华光 邹寿彬 电子技术基础 数字部分(第四版) 北京:高等教育出版社 200010. 康华光 陈大钦 电子技术基础 模拟部分(第四版) 北京:高等教育出版社 199911. 金长星 汽油机专用转速表 测控技术 2000年19卷7期12. 各电子元器件手册 中国电子网 附录1.Matlab计算程序(4060分频系数的选择)C=*10^-6;R=*10^3;Rj=0:10:20*10^3;R2=10*10^3;t=(2*(R+Rj)*C).*(((*R2)./((R+Rj)+R2))+);t1=t.*64;t2=t.*128;t3=t.*256;t4=;t6=;t8=;plot(Rj,t1,':k',Rj,t2,'--k',Rj,t3,'-k',Rj,t4,Rj,t6,Rj,t8);2.Protel仿真电路(控制脉冲生成和延时电路)我没到2级发不了图片,嘿嘿,要图就给个邮箱!!

纯电动汽车论文参考文献

新能源汽车专业毕业论文参考文献

列出论文参考文献的目的是让读者了解论文研究命题的来龙去脉,便于查找,同时也是尊重前人劳动,对自己的工作有准确的定位。因此这里既有技术问题,也有科学道德问题。如下是我为大家收集的新能源汽车专业毕业论文参考文献,欢迎阅读!

[1]徐枭,王巧凤,周荣,新能源汽车发展主要障碍及其解决方案[J],上海汽车,2009,(5):7—10

[2]杨婕,消费者对电动汽车购买意愿实证研究—基于政府产业政策理论[J],特区经济,2012,(2):302—304

[3]李光,影响我国电动汽车产业发展的关键因素研究[J],武汉理工大学学报,2011,(6):14—18

[4]霍风利,我国发展电动汽车产业的'可行性及对策研究[D],中国海洋大学硕士学位论文,2010:23—27

[5]田萍,新能源汽车是新的经济增长点[J],资源与人居环境,2009,(9):74—76

[6]方海洲,胡研,促进新能源汽车快速发展的税收优惠政策影响分析[J],汽车科技,2009,(3):7—10

[7]国家863电动汽车重大科技专项办公室,全球氢能研发及相关政策调查报告[R],2004

[8]德勤全球制造组,电动车现状与消费者期望之比较[J],全球视角,2011,(1)

[9]曾耀明,史忠良,中外新能源汽车产业政策对比分析[J],企业经济,2011,(2):107—109

[10]李东卫,我国新能源汽车产业的挑战及对策[J],广东经济,2011,(2)

[11]迈克尔·波特,竞争优势[M],北京:华夏出版社,1997:280—317

[12]李大元,低碳经济背景下我国新能源汽车产业发展的对策研究[J],经济纵横,2011

[13]罗少文,我国新能源汽车产业发展战略研究[D],复旦大学硕士学位论文,2008

[14]杨海霞,新能源汽车技术路线落定,中国投资[J],2012,(11)

[15]张海波,我国新能源汽车产业技术路线图研究[D],武汉理工大学硕士学位论文,2012

[16]刘浩华,程杨,中国新能源汽车需求风险关键因素研究[J],科技管理研究,2014,(19)

[17]章荣武,“钻石模型”及其应用:中国船舶工业产业竞争优势分析[D],厦门大学硕士学位,2006

[18]赵亮,BYD公司新能源汽车发展战略研究[D],山东大学硕士学位论文,2013

[19]张坤,安徽汽车产业国际竞争力分析[D],安徽大学硕士学位论文,2011

[20]赵斌,比亚迪新能源汽车消费的影响因素分析[D],中南大学硕士学位论文,2010

[21]顾瑞兰,促进我国新能源汽车产业发展的财税政策研究[D],财政部财政科学研究所博士学位论文,2013

[22]王慧,促进我国新能源汽车产业发展的财税政策研究[D],江西财经大学硕士学位论文,2010

[23]温岳中,基于产业生命周期理论的新能源汽车产业支持政策研究[D],北京交通大学硕士学位论文,2012

[24]方玲,基于成本—效益分析视角的我国新能源汽车产业发展策略研究[D],中南大学商学院硕士学位论文,2013

[25]文凯,借鉴国际经验发展我国新能源汽车产业研究[D],东北财经大学硕士学位论文,2010

[26]陈柳钦,美日欧新能源汽车产业发展的政策支持[J],汽车工程师,2010,(10):22—25

[27]孙浩然,日本新能源汽车产业发展分析[D],吉林大学硕士学位论文,2011

[28]金永花,日本新能源汽车市场推广策略对我国的借鉴[J],东北亚论坛,2012,(3):105—112

[29]高飞,我国电动汽车研发战略联盟模式选择研究[D],河北师范大学硕士学位论文,2012

[30]韩怀玉,我国新能源汽车产业发展的国际比较研究[D],陕西师范大学硕士学位论文,2012

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, , (1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层,第三层,第四层的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

△△△△(条的标题,顶格,占一行)

△△△△(顶格,接正文)

△△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

电动车轮的驱动技术摘要:介绍了电动车轮驱动技术的发展,电动车轮的类型和特点,以及电动车轮驱动技术的优势,对目前电动车轮驱动技术中的关键技术问题和电动车轮电动汽车的发展趋势进行了讨论,提出了相应的发展建议。关键词:电动车轮;电动车;驱动技术(一)引言随着汽车保有量的不断增加和能源的日益紧缺,人们对环境保护的意识逐步增强,汽车在带给人类方便、快捷、舒适的现代生活的同时,也引起了日益严重的环境污染和不断加剧的能源短缺问题,燃油发动机在现代汽车动力系统中的统治地位也逐渐被动摇。目前,电动车作为唯一能达到零排放的机动车越来越受到人们的欢迎,电动车轮技术作为电动车的一个重要的发展方向,以其独特的技术优势越来越受到汽车开发商的关注。电动车轮作为独立的驱动部件,集电动机传动机构、制动器等于轮毂,是一种独特的驱动单元。使用电动车轮技术的电动车普遍具有控制灵活、结构紧凑、绿色环保、传动效率高等优点。(二)电动车轮驱动技术的发展最早的电动车轮结构产生在20世纪50年代初,是由美国人罗伯特发明的,其结构如图1所示,该轮毂装置中融合了电动机、减速机构、制动器。电动机的输出力矩传递到减速机构的输入轴,经减速后,增大的力矩传递给轮辋,最后驱动车轮旋转,这种结构最早应用在大型矿用自卸车上,是美国通用电气公司于1968年推出的。到20世纪70年代,我国也开始研制大型矿用电动车轮自卸车,自1977年湖南湘潭电机厂研制成功第一台电动车轮自卸车样车以后,又先后生产了一系列电动车轮自卸车,目前我国的电动车轮自卸车性能日臻完善,某些型号也达到了国际领先水平。20世纪90年代初期,清华大学轻型电动车科研组首先将电动车轮的思想勇勇于电动自行车的研制,并研制出半轴式鸟笼结构的电动轮毂,因此成为世界上最早将电动车轮传动结构应用于电动自行车的单位。这种电动轮毂采用了告诉有刷电机、减速齿轮和离合器。半轴式鸟笼结构,就是将中心轴,即自行车轮轴的中段膨胀成一个“鸟笼”,轴也就分为左、右两段,即左、右半轴式结构,鸟笼中放置盘式电机。这种“鸟笼式”的特点是把电机很好地保护了起来,除工作力矩外,没有任何外力会作用到电机上,其结构见图2。整个轮毂的内部结构非常精巧、紧凑,总重35kg,体积为Φ190mm×110mm。电动车轮电动汽车被认为具有集中电机驱动电动车喝传统电动车无法比拟的优点,是未来燃料电池汽车高端车辆的理想选择,世界上多家汽车公司和研究机构都在进行电动车轮电动车的研究。自1991年日本人在美国申请专利以后,日本在电动汽车的电动车轮研究方面一直处于领先地位。(三)电动车轮结构类型及特点根据电动车轮的驱动类型,可以将电动车轮分为减速驱动型和直接驱动型。减速驱动型电动车轮多采用内转子高速电动机,这种电机一般转速高、转矩小,为了满足车轮的实际转速要求,通常需匹配一个相应的减速机构。减速机构一般安装在电动机与车轮之间,起到减速和增矩的作用,以保证电动车在低速时能获得足够大的转矩。减速驱动型电动车轮具有比功率较高、质量轻、效率高、噪声小、成本低等优点,但因为电动机转速较高,必须用减速机构降低转速以获得较大的转矩,因此作为非簧载质量的整个电动轮的质量依然比传统的内燃机汽车重。减速机构多为行星齿轮减速装置,其结构紧凑、减速比较大,也有采用外啮合圆柱齿轮减速装置的,但轴向尺寸过大,径向质量分布不均。为了减少电动车轮的非簧载质量,出现了直接驱动型电动车轮,这种电动车轮去掉了减速驱动型电动车轮中的减速机构,大大减少了非簧载质量,也简化了整个电动车轮的结构。这种电动车轮多采用外转子电动机,直接将外转子安装在车轮的轮辋上驱动车轮转动。然而电动车在起步时一般需要较大的转矩,也就是说安装在直接驱动型电动轮中的电动机,必须具有较好的转矩特性,能在低速时提供大转矩。另外,还必须具有很宽的转矩和转速调节范围。直接驱动型电动车轮中采用的外转子电动机结构简单,轴向尺寸小,能够在很宽的速度范围内控制转矩,且响应速度快,又因为没有减速机构,所以效率较高。如果要获得较大的转矩,必须增大电动机的体积和质量,但成本较高,在加速时效率却很低,且噪声很大。(四)电动车轮驱动的优势电动车采用电动驱动技术后能量源与驱动电机之间的功率传递采用软电缆,摆脱了传统机械传动的设计约束,给整车带来了很多优点:(1)采用电动车轮技术,在同样功率需求的情况下,可以将单个电动机功率分配给多个电动机。相应地,对电气和机械传动零部件的要求都可以降低,便于设计与生产。在大型矿用载重汽车上,机械传动很难传递的大转矩,就是利用电动车轮结构实现传递的。(2)取消了离合器、变速器、传动轴、差速器等部件,使传动系统得到简化,有利于汽车实现轻量化目标;由于减少了精密机械部件的加工费用,使整车生产成本也有望降低;由电动机直接驱动车轮甚至两者集成为一体,便于实现机电一体化。(3)由于去掉了机械传动部分,相对于保留机械传动系的电动车,其传动效率得到提高。(4)提高汽车的通过性能。这主要来自于两方面,一方面,简化的传动系统可以提高车辆的离地间隙,另一方面,使用全轮驱动和驱动轮单独控制的措施,可以最大限度地利用地面的附着能力。(5)电动车轮与动力源之间采用软电缆链接,且占用空间少,因此使整车布置设计非常灵活,对于电动客车来说,便于实现低地板化行李箱及乘客位置设计更灵活,并且也有的空间来布置电池。整车质量分布设计自由度大,可以更合理地分配轴向载荷。(五)电动车轮的关键技术电动车轮由于自身的结构特点,使得这一技术在电动汽车上有广泛的应用前景,但是,目前电动车轮的关键技术还没有完全突破,主要有以下四个方面的关键技术:(1)研制调速范围宽,转矩变化范围大,结构紧凑的电动机。(2)解救电动机的冷却、密封和抗振动技术。(3)开发效率高、结构紧凑和重量轻的减速装置。(4)可靠性高、性能好的电子差速器。(六)电动车轮的发展趋势电动车轮在汽车上推广主要受两个方面因素制约,一方面要解决电动车轮的关键技术;另一方面是在关键技术解决之后,电动车轮的成本应大幅度下降,用户能接受因使用电动车轮后而增加的成本。轿车采用电动车轮技术还有许多问题需要解决,不会很快推广使用。轿车的舒适性要求高,行驶速度高,电动车轮引起的非簧载质量增加会引起平顺性下降,需要进一步解决;轿车的速度变化范围宽,采用固定速比的减速装置,对电动车轮的转矩性要求高,技术上还存在一定困难;轿车的车轮直径较小,电动车轮的不止有一定困难,电动车轮的密封、冷却和抗振性还有许多问题需要解决。大客车采用电动车轮技术日益增多。大客车的车轮旋转速度较低。采用固定速比的减速装置后,电动车轮的性能可以满足车辆行驶性能的要求;大客车特别是低地板大客车采用电动车轮结构后,可以容易实现原来中央驱动结构由主减速器和论辩减速两级减速才能完成的功能,既简化传动系统,又有利于解决电动车轮引起的非簧载质量对平顺性的影响;电动车轮引起的成本增加在大客车的成本中所占比重不大,能够为用户所接受。(七)结语电动车轮技术作为一项新技术,具有结构紧凑、可以改善车辆驱动性能和行驶性能,有利于整车布置等特短,无论是在电动自行车之类的轻型车辆,还是电动汽车或是重型矿用车上,都有着广阔的应用前景。虽然电动车轮技术中有些关键问题还没有得到完全解决,但采用电动车轮技术哦的电动车与传统车相比,确实存在着许多不可比拟的优势,所以,以电动车轮技术为特征的电动车是未来电动车的发展方向。参考文献:1.彭谦。大型电动轮自卸车的发展概况及趋势[J]。矿山机械,2000(2):12-13。2.宋佑川,金国栋。电动轮的类型与特点[J]。城市公共交通,2004(4):16-18。3.陈勇,张建荣,张大明。电动轮技术在电动汽车中的应用和发展[J]。机械设计与制造,2006(10):169-171。

  • 索引序列
  • 汽车电控论文参考文献
  • 汽车控制电路设计论文参考文献
  • 汽车电影论文参考文献
  • 汽车电路参考文献论文
  • 纯电动汽车论文参考文献
  • 返回顶部