首页 > 毕业论文 > 双排键电子琴毕业论文

双排键电子琴毕业论文

发布时间:

双排键电子琴毕业论文

双排键 动辄都上万最便宜几个国产的 都要6千多普通单排 普遍用几千的多 而且3千内的 居多 而且录音有分内录 外录有些视频是内录然后合上去 音质会好 (用线材连电脑之类 录音)外录的 就用摄像机 相机 手机 录 会很差的

双排键的节奏类型与音色较多,且也可自己进行节奏的制作,因此更为好听

每次看到别人问这种问题,双排键好不好学,难不难,19岁学晚不晚之类的问题,我都想回答,并不难。怎么说呢,尽管手脚并用的演奏方式看起来很难,但只要你掌握了其中的技巧并多加练习,不仅不难还能享受其他乐器多不具备的乐趣。首先,双排键其实就是电子管风琴,从演奏方法上来讲也和管风琴十分相似。其次,手脚并用考研的不是你的演奏技巧,更多的而是你的协调能力,俗话说得好,熟能生巧,练习次数足够了也就能像吃饭睡觉一样运用自如。最后,双排键的学习过程也不会是让你一蹴而就的,任何乐器的学习过程都是循序渐进的,通过逐步掌握这种包含更多音乐元素,将就更多乐理知识的乐器,可以帮你构建更好的乐理思维,体验更高的音乐意境。学习双排键真正难的点并不在于它的门槛,而是在后续的学习过程中对于这门更为复杂的课程是否能付出坚持不懈的努力。

双排键的节奏类型与音色较多,且也可自己进行节奏的制作,因此更为好听,一台琴也可相当于一个大乐队。你先不要着急,双排键有相应的教材,你可以去买迪士尼的音乐教材,你若有钢琴基础的话,先练手的部分,当手已熟练,便开始按节奏练习脚的部分,再慢慢合到一起,逐渐加速。(可以买本考级教材,通过练习其中的练习曲来慢慢摸索)

趣味电子琴8键毕业论文

简易电子琴的设计摘 要 随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是简易电子琴的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。关键词 电子琴;课程设计;EDA;VHDL1 引言 课程设计的目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 课程设计的内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。(3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。2 开发工具简介 EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。硬件描述语言—VHDLVHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 VHDL的设计流程:(1) 设计输入根据电路设计所提出的要求,将程序输入到VHDL编辑器中去编辑。(2) 功能级模拟用VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。(3) 逻辑综合与优化 将通过功能模拟的程序放到VHDL编译器中,进行逻辑综合与优化。(4) 门级模拟对电路用VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。(5) 版图生成 用相应的软件处理后,就可以拿去制版。设计过程设计规划根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图3-1所示,它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。图3-1 系统的整体组装设计原理图 各模块的原理及其程序(1)乐曲自动演奏模块乐曲自动演奏模块()的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY AUTO ISPORT ( CLK : IN STD_LOGIC;AUTO : IN STD_LOGIC;CLK2 : BUFFER STD_LOGIC;INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END AUTO;ARCHITECTURE BEHAVIORAL OF AUTO ISSIGNAL COUNT0: INTEGER RANGE 0 TO 31;BEGINPULSE0 :PROCESS(CLK,AUTO)VARIABLE COUNT :INTEGER RANGE 0 TO 8;BEGINIF AUTO ='1' THENCOUNT := 0;CLK2<='0';ELSIF(CLK'EVENT AND CLK ='1')THENCOUNT :=COUNT +1;IF COUNT =4 THENCLK2 <='1';ELSIF COUNT =8 THENCLK2<='0'; COUNT:=0;END IF ;END IF ;END PROCESS;MUSIC:PROCESS(CLK2)BEGINIF (CLK2'EVENT AND CLK2='1')THENIF (COUNT0=31)THENCOUNT0<=0;ELSECOUNT0<=COUNT0+1;END IF ;END IF ;END PROCESS;COM1:PROCESS(COUNT0,AUTO,INDEX2)BEGINIF AUTO ='0' THENCASE COUNT0 ISWHEN 0=>INDEX0<="00000100"; --3WHEN 1=>INDEX0<="00000100"; --3WHEN 2=>INDEX0<="00000100"; --3WHEN 3=>INDEX0<="00000100"; --3WHEN 4=>INDEX0<="00010000"; --5WHEN 5=>INDEX0<="00010000"; --5WHEN 6=>INDEX0<="00010000"; --5WHEN 7=>INDEX0<="00100000"; --6WHEN 8=>INDEX0<="10000000"; --8WHEN 9=>INDEX0<="10000000"; --8WHEN 10=>INDEX0<="10000000"; --8WHEN 11=>INDEX0<="00000100"; --3WHEN 12=>INDEX0<="00000010"; --2WHEN 13=>INDEX0<="00000010"; --2WHEN 14=>INDEX0<="00000001"; --1WHEN 15=>INDEX0<="00000001"; --1WHEN 16=>INDEX0<="00010000"; --5WHEN 17=>INDEX0<="00010000"; --5WHEN 18=>INDEX0<="00001000"; --4WHEN 19=>INDEX0<="00001000"; --4WHEN 20=>INDEX0<="00001000"; --4WHEN 21=>INDEX0<="00000100"; --3WHEN 22=>INDEX0<="00000010"; --2WHEN 23=>INDEX0<="00000010"; --2WHEN 24=>INDEX0<="00010000"; --5WHEN 25=>INDEX0<="00010000"; --5WHEN 26=>INDEX0<="00001000"; --4WHEN 27=>INDEX0<="00001000"; --4WHEN 28=>INDEX0<="00000100"; --3WHEN 29=>INDEX0<="00000100"; --3WHEN 30=>INDEX0<="00000010"; --2WHEN 31=>INDEX0<="00000010"; --2WHEN OTHERS =>NULL;END CASE;ELSE INDEX0<=INDEX2;END IF;END PROCESS;END BEHAVIORAL;(2) 音调发生模块音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音节的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY TONE ISPORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HIGH: OUT STD_LOGIC;TONE0: OUT INTEGER RANGE 0 TO 2047);END TONE;ARCHITECTURE ART OF TONE ISBEGINSEARCH : PROCESS(INDEX)BEGINCASE INDEX ISWHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';END CASE;END PROCESS;END ART;(3) 数控分频模块数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY FENPIN ISPORT(CLK1: IN STD_LOGIC;TONE1: IN INTEGER RANGE 0 TO 2047;SPKS: OUT STD_LOGIC);END ENTITY FENPIN;ARCHITECTURE ART OF FENPIN ISSIGNAL PRECLK:STD_LOGIC;SIGNAL FULLSPKS:STD_LOGIC;BEGINPROCESS(CLK1)VARIABLE COUNT:INTEGER RANGE 0 TO 8;BEGINIF (CLK1'EVENT AND CLK1='1')THENCOUNT:=COUNT +1;IF COUNT=2 THENPRECLK<='1';ELSIF COUNT =4 THENPRECLK<='0';COUNT:=0;END IF ;END IF ;END PROCESS;PROCESS(PRECLK,TONE1)VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;BEGINIF (PRECLK'EVENT AND PRECLK='1')THENIF COUNT11CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO=>HANDTOAUTO);U1:TONEPORTMAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1);U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT);END ART;4 波形仿真(1)乐曲自动演奏模块的仿真(如图4-1所示)图4-1乐曲自动演奏模块的仿真图(2)音调发生模块的仿真(如图4-2)图4-2 音调发生模块的仿真图(3)数控分频模块的仿真(如图4-3)图4-3数控分频模块仿真图(4)简易电子琴整个系统的仿真(如图4-4)图4-4简易电子琴整个系统的仿真图5 结束语经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:1) 这个设计的基本是接触一门新的语言并加以应用,对于我来说,没有想到的是入手的速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。知识的接收速度在很大的程度上决定了动手的时间。2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL编程中依然起着很大的作用。一通百通,不是没有道理的。对于学习新的知识并予以应用的信心,显得更足了。3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。4)通过这个程序设计让我学会一种新的语言,对数字系统结构也有了更进一步的了解和认识,对我以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。通过几天的课程设计,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。在整个设计过程中,有很多人对任务的完成给予了重要的支持和帮助。感谢老师给了我本次设计的机会并提供指导;感谢许多同学在我此课程设计遇到问题时给我的帮助使我能够顺利地进行设计的工作;论坛中有很多认识不认识的朋友也都为我的设计提出了很宝贵的建议,同样在这里感谢他们。参考文献《VHDL与数字电路设计》.卢毅, 赖杰. 科学出版社《VHDL语言100例详解——北京理工大学ASIC研究所》.北京理工大学ASIC研究所. 清华大学出版社《VHDL 程序设计》(第二版). 曾繁泰等. 清华大学出版社《VHDL入门与应用》陈雪松, 滕立中 .人民邮电出版社 《VHDL简明教程》.王小军 .清华大学出版社

可以使用简单的按键和PWM连用,PWM可以实现设定为各个音符的频率,然后根据判断按键决定是否一直输出相同的频率,这样8个按键就可以输出8个不同的频率,不知道符不符合你的要求

双排键作品毕业论文

胜利交响曲(Victory Symphony)是由斯美塔那谱曲的古典音乐[1],首演于1855年。中文名胜利交响曲外文名Victory symphony编曲斯美塔那音乐风格交响曲相关视频04:57胜利交响曲好雄壮万播放胜利交响曲(E-Dur, 1853–1854),由斯美塔那谱曲,首演于1855年。这部作品中运用了奥地利皇帝颂的旋律,交响曲是题献给茜茜公主的,斯美塔那给她寄去了作品的一个抄本,但是并没有得到任何回复。乐曲章节:第一乐章 Allegro vivace, 第二乐章 Satz Largo maestoso, 第三乐章 Satz Scherzo: Allegro vivo, 第四乐章 Finale:Allegro non troppo ma energico。

2012年央视春晚上,零点钟声过后,“玖月奇迹”组合以一曲饱含吉祥和喜气的《中国美》,给全国人民送上了美好的新春祝福,同时,他们激情飞扬、动感十足的演唱,也给大家带来了十分愉悦的视听享受,受到亿万观众的广泛好评。 对于这个由帅哥靓女组成的“玖月奇迹”组合,很多人并不陌生。近年来,维也纳金色大厅、香港会展中心、中央电视台2011年春晚等国内外很多大型演出中都有他们精彩表演的身影,特别是组合中那位演奏双排键电子琴的女孩儿,不仅容貌清秀、歌声甜美,而且演奏时一举手一投足间都充满青春活力,每每给人们留下极为深刻的印象,被大家誉为“双排键上的舞蹈家”。这位女孩来自哪里?又是怎样走上音乐之路的呢? 音乐天赋初绽放, 双排键上崭露头角 女孩名叫王小玮,1985年8月出生在大连市金州新区董家沟一户普通农家,父亲非常喜欢音乐,笛子吹得特别棒,很多乐器也演奏得不错。或许是继承了父亲的遗传基因,王小玮对音乐有一种与生俱来的感情,刚咿呀学语,只要听到收音机里播放歌曲,就会兴奋起来,有时还会跟着哼唱。两岁多时给她买来一个能弹出声的玩具钢琴,令王小玮父亲没想到的是,没多久,王小玮竟能将听过的歌曲在小钢琴上弹出来,虽然个别音调不十分准,但整体不错,而且乐感很好。这让王小玮的父亲心头一震:看来这孩子很有音乐天赋!从此王小玮的父亲有意识地培养起她来。 王小玮11岁时顺利通过严格考试拿到了沈阳音乐学院附中少儿艺术学校的录取通知书。入学后,根据学校安排王小玮改学钢琴。人小志大的她每天一上完课就钻进琴房里练琴,每天至少要练五六个小时,把绝大多数业余时间都交给了钢琴。她的老师,著名钢琴教育家杨红至今还记得:“那时王小玮跟发了疯似的和钢琴对着干上了,弹琴时间长了,指尖常常裂口,殷红的鲜血滴在白色的琴键上特别刺眼,可她全然不顾,擦掉血迹,包上手指,接着再练。看到她小小年纪如此有拼劲儿,我当时非常震撼!” 天道酬勤,王小玮的勤奋努力很快有了丰厚的回报,1997年12月,她在香港举办的“中国作品钢琴比赛”中,艺压群芳,一举摘得双钢琴演奏第一名的桂冠。这次获奖给了王小玮极大的激励,刚刚步入13岁的她暗下决心,一定要好好学习,争取将来成为一名在键盘乐器演奏方面有造诣的艺术家。 王小玮对电子乐器一直特别有感情,1998年她萌生了学双排键电子琴的想法。双排键电子琴学名叫电子管风琴,立式结构,上面有两排手键盘,下面有一排大号的脚键盘,具有上百种音色,可以根据乐曲需要进行编辑,一个人演奏,其效果相当于一个百人交响乐团。她想:“沈阳音乐学院就有教双排键电子琴演奏的老师,近在咫尺,我为什么不学呢?”有了这种想法后,她立刻行动,经过一番努力,终于如愿以偿找到了老师。由于有扎实的钢琴演奏基础,且熟悉电子琴演奏技巧,王小玮很快解决了手脚协调问题,逐渐掌握了双排键电子琴演奏的基本技法。2001年,经过3年的刻苦学习,她以专业课第一名的成绩考入沈阳音乐学院,师从最早将双排键电子琴引进中国并开设双排键课程的沈晓明教授。 开课不久,沈晓明教授就告诉她:双排键电子琴能模仿交响乐、流行乐等各种音乐表现形式,而且大部分乐曲需要演奏者自己编排,因此,要想成为一名出色的双排键电子琴演奏者,不仅身体各部位协调性要好,还要掌握作曲、配器、指挥等各门音乐知识,懂得的音乐知识越多,对提高演奏水平越有利。老师的教诲王小玮牢牢记在心里,从此,除了认真上好专业课外,她四处求教——到作曲系旁听配器课、和声课;到声乐系找老师学习唱歌;到合唱指挥系学习乐队成员的分布;到图书馆查阅各种有关的资料……每天教学楼、图书馆、宿舍,三点一线成了她生活的常态,清晨起来练声,晚上熄灯时间不到不放下书本更是家常便饭。功夫不负有心人,4年下来,王小玮不仅能够游刃有余地驾驭双排键电子琴这件充满现代感的乐器,还能一展甜美歌喉,边弹边唱,成为许多老师眼中最有发展前途的双排键演奏人才,沈晓明教授更是对她抱有很大期望。 老师们的看法和期望很快得到了印证,2006年王小玮以优异成绩毕业不久,便在众星云集的英国“电子管风琴国际公开赛”中,凭借扎实的功底,一路过关斩将,最终夺得第二名。赛后,主办方组织获奖选手演出,王小玮又以精湛的演技和激情飞扬的演奏风范征服了英国观众。一首中国著名钢琴协奏曲《黄河》,被她演绎得大气磅礴、荡气回肠,演奏终了,现场顿时响起经久不息的掌声。 大学刚毕业初出茅庐,就取得如此骄人的成绩,令音乐界很多专家对王小玮刮目相看,认为她是不可多得的音乐才女。 不断进取巧组合, “玖月奇迹”创辉煌 英国公开赛后不久,王小玮应邀担任了辽宁民族乐团、辽宁歌舞团、辽宁杂技团合作创作的大型青春时尚乐舞《中国变奏》的领衔主演,到全国进行巡演。王小玮把这次演出当做最好的实践机会,一方面努力将双排键电子琴的魅力尽情展现出来,一方面不断听取各方意见提高自己。巡演中,不仅视每一场演出为第一次登台,全身心投入,而且每场演出结束后都虚心征求团队中专家和伙伴们的意见,近百场演出,场场如此。王小玮说:“只有这样自己才能不断进步,将双排键电子琴演奏得更好!”正因为有这样一种进取精神,王小玮的演奏技艺日臻成熟,表演越来越精彩,在圈内声名鹊起,引起关注。2007年,北京歌剧舞剧院青睐王小玮的演技,向她抛出橄榄枝,以特殊人才将她引进,作为领衔主演。这对于一个20刚出头的年轻人来说,无疑是最好的肯定,从此王小玮走进文艺精英荟萃的北京,走向了更大的舞台。 2007年9月,王小玮参加一个好友聚会,席间大家谈到央视名牌栏目“星光大道”时,一位朋友建议王小玮:“现在演奏双排键电子琴的人很少,‘星光大道’收视率很高,你何不上这档节目展示一下,让更多的人认识双排键电子琴,也算对这种新兴乐器的普及!”“那恐怕不行吧,‘星光大道’是给普通百姓提供展示才艺的舞台,小玮是专业演员,能被允许参加吗?”另一位朋友说出了自己的担忧。这时又一位朋友出了个主意:“小玮可以找一个非专业演员组成个组合!他唱,小玮给他伴奏,那样不就行了吗!”听了朋友们的建议,王小玮不免心中一动,她的老师沈晓明一直致力于双排键电子琴在国内的普及,跟随老师多年,耳濡目染,她也早有让更多的人认识和了解双排键电子琴的想法,于是当即决定一试。 聚会结束后,王小玮认真琢磨起这件事来。思考中她突然想到了沈阳的一位朋友王小海:对呀!王小海是一名会计,但歌唱得很好,读大学时曾多次参加过校园歌曲大赛,和自己又熟悉,何不找他呢?想到此,王小玮很快与王小海取得联系,说了自己的想法,王小海听后马上表示同意。后来给组合起名字时,俩人觉得他们第一次相遇是2005年9月,很偶然,再见面并成为朋友是2006年9月,这次打算组成组合又是在9月,好像奇迹一般,总与9月有关系,于是便给组合起了个名字——“玖月奇迹”。 经过大半年的精心准备,2008年4月,“玖月奇迹”组合登上了“星光大道”的舞台,很多观众称赞王小玮:“就像一只美丽的蝴蝶在琴键上翩翩起舞!给人带来一种美的享受!”在随后的鏖战中,他们越战越勇,一路凯歌,从周冠军、月冠军一直到夺得2008年“星光大道”总决赛冠军。中国音协副主席、著名作曲家徐沛东看了他们的表演,连连称赞:“‘玖月奇迹’,真的是一个奇迹!” “星光大道”夺冠,让王小玮、王小海的“玖月奇迹”组合一炮而红,不仅演出邀请不断,还经常出现在许多大型演出中。2005年他们随文化部赴维也纳金色大厅演出,成为国内第一个、到目前为止也是唯一一个登上金色大厅的“80后”组合;2010年他们走进香港会展中心,为香港同胞献上精彩表演,受欢迎程度毫不亚于大牌歌星;2011年他们又登上央视春晚,凭借一曲改编的“青春舞曲”倾倒了全国亿万观众,双排键电子琴被网友称为“春晚神器”,王小玮则被称为“双排键上美丽的精灵”。 如今,“玖月奇迹”已经红遍大江南北,王小玮也以优异的成绩完成研究生学业,成为中国第一位双排键艺术硕士。面对成功,她说:“一定要不断进取,努力提高表演技艺,为广大观众奉献更多、更精彩的双排键电子琴演奏节目!”我们期待她再创辉煌!

双排键《胜利》乐曲创作背景:为纪念中国人民抗日战争暨世界反法西斯战争胜利70周年而作的。

双排键的毕业论文选题

软件设计毕业设计论文题目

软件设计毕业设计论文题目如何拟定,大家有参考的范文吗?以下是我为大家整理的关于软件设计毕业设计论文题目,希望大家喜欢!

1) 组合型板翅式换热器热力设计软件的开发

2) 导波结构健康监测系统软件数据管理模块设计

3) 基于SAP2000分析平台的变电站构架设计软件

4) 通用型激光加工工艺控制软件的领域模型设计

5) 基于蚁群算法的自动化立体车库监控软件的优化设计

6) 发电厂自动抄表软件人机交互界面设计

7) 不同种植设计软件对种植体位置偏差的影响

8) 玉米自动考种流水线控制系统设计--基于MCGS嵌入式组态软件

9) 嵌入式实时软件在计算机软件设计中的运用研究

10) 嵌入式实时软件在计算机软件设计中的运用

11) 测控数据实时监测软件设计方法研究

12) 体验模型指导下的云办公软件社会化分享设计

13) 计算机软件开发设计的难点分析

14) 无人机地面在线检测软件的设计

15) 配网数字化规划设计档案一体化软件设计研究

16) 嵌入式系统设计实验的Qt MIPS仿真软件开发

17) Solidworks参数化设计软件在我国家具研发中的应用

18) 会议电视系统平板会控软件设计与实现

19) 锅炉设计中引入三维设计软件的思考

20) 平面设计软件与DICOM图像数据处理技术

21) FLASH动画设计软件在多媒体技术中的应用

22) 无人机飞控计算机自动测试软件设计与开发

23) 基于虚拟现实技术的软件界面设计与研究

24) 面向掩星观测的软件接收机设计

25) 基于软件通信体系结构的波形FPGA软件设计方法

26) 基于MATLAB的`同步发电机原动机及其调速系统参数辨识与校核可视化软件设计

27) 基于USB的软件综合安全模块设计及应用

28) 面向大数据处理的内容服务器软件设计与实现

29) 基于Android终端的企业即时通信软件的设计与实现

30) 临时限速服务器软件设计优化研究

31) 锥形束CT与simplant软件辅助设计模拟种植下颌牙列缺损的临床研究

32) 面向复用的软件设计方法研究

33) 电网规划数据处理辅助软件的流程设计

34) GPS农田平地机土方量及设计高程计算软件开发

35) 基于STC89C52的智能台灯软件设计

36) 浅析计算机平面设计中设计软件的相互结合与应用

37) 商业固体激光器设计软件应用研究

38) 体育运动会比赛软件系统的设计与研究

39) 综合化航空电子系统网络传输延迟测试软件设计

40) 基于XML的监控软件快速设计技术

41) 基于三维动画软件的服装设计分析与研究

42) 基于Matlab的水与蒸汽热力学性质查询软件设计

43) 机顶盒软件模块设计及实现

44) 剪纸拼接与PS软件设计截骨矫正强直性脊柱炎后凸畸形的对比

45) 基于联合辅助设计软件的试验仪控数字化平台开发

46) ZBrush数字雕刻软件在电脑首饰设计中的应用

47) 对以用户体验为导向的智能手机应用软件界面设计的几点探讨

48) 点云数据生成软件的设计及其在月饼模具逆向设计与制造中的应用

49) 一种新型的有源交错并联Boost软件开关电路设计

50) 基于第一创造法的可拓创新软件设计

51) 基于DCS的工程项目设计软件介绍

52) 一种复杂模式网传数据软件模拟器的设计

53) VB环境下交互式GMT地学绘图软件的设计及实现

54) 基于等效的单体包装机软件模块化设计研究

55) 基于Visual Basic的工程数量计算软件设计与开发

56) 基于数据库的油气管道线路施工图设计软件二次开发

57) 基于EDA软件的滤波器设计

58) 基于Android的可配置工业远程监控软件设计与实现

59) 基于图像方式的受电弓滑板磨耗检测系统软件设计

60) 基于移动互联网的个人健康管理软件设计与实现

61) 老年人的握力测量软件沉浸式界面设计

62) 基于XMPP协议的Android即时通信软件的设计与实现

63) CFD软件自动化验证确认云平台设计与实现

64) 基于模糊聚类的色选机上位软件系统研究与设计

65) 双排桩支护结构理正软件设计计算与有限元(MIDAS/GTS)模拟分析

66) 移动端智能手机软件产品的UI设计研究

67) 基于ZYNQ的软件无线电平台设计与实现

68) 基于面向对象的纸机传动系统软件设计的研究

69) 软件企业设计人员胜任力模型研究

70) 算法可视化软件设计中关键问题的研究

71) 基于参与式设计方法的移动端烹饪软件界面设计研究

72) 支持语音识别功能的Andriod记事本软件设计与实现

73) 中小企业财务软件设计与应用

74) 基于Android的便携式心电监护系统软件的设计

75) 通用测试系统软件架构及关键技术的设计与实现

76) 基于双DSP的制导飞行器控制系统的软件设计

77) 达芬奇技术下的视频处理及传输系统的软件设计与实现

78) 基于SolidWorks的管壳式换热器辅助设计软件研究

79) 医学影像处理与分析软件平台设计与实现

80) 软件园研发建筑空间形态设计研究

81) 基于数据库的滑动轴承设计计算软件开发

82) 基于AutoCAD的滴灌工程设计软件研究与实现

83) 基于Gaudi的CSR外靶实验数据处理软件框架设计

84) 轮式起重机回转系统设计计算软件开发

85) 轮式起重机转向系统设计计算软件开发

86) CS公司软件开发人员薪酬体系优化设计研究

87) 基于可拓创新方法的产品创新软件设计与实现

88) 数字示波器自动校准软件设计

89) 基于Linux的多功能监护仪软件设计

90) CFETR设计软件集成平台研发

要想写出一篇优秀的 毕业 论文,少不了论文拥有一个新颖的题目,论文题目足够有吸引力能够顺利答辩评审老师。下面我给大家带来2021电子机械毕业论文题目与选题参考,希望能帮助到大家!

机械毕业论文题目

1、自主导航农业机械避障路径规划

2、煤矿机械电气设备自动化调试技术研究

3、机械加工中加工精度的影响因素与控制

4、三自由度机械臂式升降平台运动学建模及仿真

5、基于并联交错的起重机械节能装置设计研究

6、CNN和RNN融合法在旋转机械故障诊断中的应用

7、机械剪切剥离法制备石墨烯研究进展

8、机械压力机滚滑复合导轨结构设计研究

9、机械压力机曲轴、轴瓦温升自动控制设计技术

10、基于无线传感的机械冲压机振动监测分析

11、基于GNSS的农业机械定位与姿态获取系统

12、一种冗余机械臂多目标轨迹优化 方法

13、基于湍流模型的高速螺旋槽机械密封稳态性能研究

14、基于多楔现象的微孔端面机械密封泄漏率分析及孔形设计

15、牵引变电站直流断路器机械状态监测与故障诊断研究

16、方钢管混凝土柱卡扣机械连接试验及有限元分析

17、机械电子工程与人工智能的关系

18、机械法与机械-酶消化法制备大鼠膈肌组织单细胞悬液的比较

19、机械制造工艺及精密加工技术研究

20、腐蚀减薄对X80钢管机械损伤凹陷过程中应力应变的影响

21、基于驻极体材料的机械天线式低频通信系统仿真研究

22、基于"J型锁芯"的机械锁芯结构创新分析

23、浅析我国烟草机械技术的发展现状和趋势

24、液滴分析仪的机械结构设计

25、化工机械密封件损伤数值模拟及维修对策探讨

26、一种镍基单晶高温合金的反相热机械疲劳行为

27、浅谈机械数控技术的应用现状和发展趋势

28、数控机械加工进刀工艺优化 措施 分析

29、基于STM32六自由度机械臂发展前景

30、机械工程自动化技术存在的问题及对策探析

31、机械设计制造的智能化发展趋势综述

32、RFID在机械加工中的应用探究

33、试论船舶机械设备维修保养中的常见故障及排除方法

34、探讨港口流动机械预防性维护保养

35、关于端盖零件机械加工工艺的设计要点分析

36、关于机械加工工艺对零件加工精度的影响研究

37、现代机械制造及加工技术分析

38、论机械设计加工中需要注意的问题

39、基于机械设计制造中零件毛坯选择的研究与应用

40、机械零件加工精度影响因素探析

41、机械制造加工设备的安全管理与维修探讨

42、机械设备的环保性能分析

43、探究机电一体化系统在机械工程中的应用

44、机械制造过程的绿色制造技术应用研究

45、浅析机械设计制造中机电一体化的应用

46、机械工程的可靠性优化设计分析

47、浅析机械设备焊接制作中注意事项与探讨

48、浅谈山西省农产品初加工机械发展现状

49、浅谈信息化教学在机械制图课程中的应用策略

50、基于OBE的机械原理课程设计项目式教学改革研究

51、农业机械自动化技术的应用研究

52、鲜芦笋干机械烘干的应用研究

53、液压同步控制回路在农业机械上的应用

54、果园施肥机械研究现状与展望

55、化工机械设备诊断分析探讨

56、氧化锆球体表面机械球磨涂覆钛涂层工艺研究

57、探析建筑用起重机械安全管理与检测技术

58、机械自动化设计与制造存在问题及应对措施

59、机械设计加工中的材料选择问题分析

60、无线遥控在多中段竖井提升系统井口机械控制中的应用

优秀机电专业毕业论文题目

1、机电一体化与电子技术的发展研究

2、变频技术在锅炉机电一体化节能系统中应用

3、煤矿高效掘进技术现状与发展趋势研究

4、电气自动化在煤矿生产中的应用探讨

5、产品设计与腐蚀防护的程序与内容

6、机械制造中数控技术应用分析

7、智能制造中机电一体化技术的应用

8、水利水电工程的图形信息模型研究

9、矿山地面变电站智能化改造研究

10、浅析电气控制与PLC一体化教学体系的构建

11、中国机电产品出口面临的障碍及优化对策

12、我国真空包装机械未来的发展趋势

13、煤矿皮带运输变频器电气节能技术的分析

14、钢铁企业中机电一体化技术的应用和发展

15、我国机械设计制造及其自动化发展方向研究

16、机械设计制造及其自动化发展方向的研究

17、基于BIM技术的施工方案优化研究

18、电力自动化技术在电力工程中的应用

19、电气自动化技术在火力发电中的创新应用

20、农机机械设计优化方案探究

21、区域轨道交通档案信息化建设

22、环保过滤剂自动化包装系统设计

23、元动作装配单元的故障维修决策

24、关于机械设计制造及其自动化的设计原则与趋势分析

25、试析机电一体化中的接口问题

26、汽车安全技术的研究现状和展望

27、太阳能相变蓄热系统在温室加温中的应用

28、关于在机电领域自动控制技术应用的研究

29、浅析生物制药公司物流成本核算

30、锡矿高效采矿设备的故障排除与维护管理

31、铸钢用水玻璃型砂创新技术与装备

32、空客飞行模拟机引进关键环节与技术研究

33、汽车座椅保持架滚珠自动装配系统设计

34、液压挖掘机工作装置机液仿真研究

35、基于新常态视角下的辽宁高校毕业生就业工作对策研究

36、石油机电事故影响因素与技术管理要点略述

37、基于铝屏蔽的铁磁性构件缺陷脉冲涡流检测研究

38、数控加工中心的可靠性分析与增长研究

39、数控机床机械加工效率的改进方法研究

40、浅析熔铸设备与机电一体化

41、冶金电气自动化控制技术探析

42、中职机电专业理实一体化教学模式探究

43、高职机电一体化技术专业课程体系现状分析和改革策略

44、高速公路机电工程施工质量及控制策略研究

45、对现代汽车维修技术措施的若干研究

46、建筑工程机电一体化设备的安装技术及电动机调试技术分析

47、智能家居电话控制系统的设计

48、电力系统继电保护课程建设与改革

49、PLC技术在变电站电容器控制中的应用分析

50、机电一体化技术在地质勘探工程中的应用

51、工程机械施工中的机电一体化

52、基于CIM与XML技术的变电站监控信息数据研究与解析

53、风力发电液力机械传动装置的特性及设计研究

54、旱田移栽机机械手自动喂苗系统

55、基于磁隔离的新型漏水监测终端结构设计

56、基于创新角度下的机械制造工艺研究

57、机械设计制造及其自动化的应用研究

58、一种可旋转智能储物柜系统的研究与设计

59、基于多种传感器智能控制的风扇

60、《自动控制原理》课程差别化教学模式研究

汽车电子技术论文题目

1、高技术虚拟产业集群成员间合作与竞争机制研究

2、SOPCPlus协同设计架构及在AMT中的应用研究

3、基于技术创新扩散视角的我国汽车电子产业空间分布研究

4、新一代汽车电子系统的网络体系结构若干关键技术研究

5、基于FPGA的车载电子系统设计

6、汽车电子行业技术创新模式与企业策略研究

7、汽车电子软件设计中周期分配和总线访问配置算法研究

8、基于AUTOSAR标准的系统配置工具

9、面向汽车电子的嵌入式软件开发基本平台关键技术研究与实现

10、基于“VR”的现代汽车电子系统故障诊断仿真实训系统开发

11、基于CAN总线的汽车灯控网络系统的设计与实现

12、基于AUTOSAR标准的汽车电子软件开发平台分析和设计

13、基于专利分析的我国汽车电子技术进化研究

14、大陆汽车电子(长春)有限公司的服务营销研究

15、汽车发动机曲轴凸轮轴信号模拟系统

16、基于SAEJ1939的客车通信协议设计与应用

17、汽车电子机械制动(EMB)控制系统关键技术研究

18、汽车电子机械制动系统CAN总线通信研究

19、基于专利分析的吉林省汽车电子产业技术预测研究

20、汽车复杂电控系统混杂通信网络的设计

21、PH信息技术公司多元化战略研究

22、汽车电子机械制动系统设计及其关键技术研究

23、电子元器件分销发展战略研究

24、汽车综合信息显示系统的研究

25、基于Internet的汽车电子远程诊断技术研究

26、汽车发动机电子节气门控制系统设计研究

27、多能源动力总成控制系统的研究与设计

28、车载信息显示系统的研究与设计

29、具有自诊断功能的车身控制系统设计与实现

30、基于AUTOSAR的汽车电子设备驱动及抽象的设计与实现

31、面向汽车电子领域的嵌入式软件可靠技术的研究与开发

32、面向汽车电子基础软件的配置技术研究与实现

33、基于模型的汽车电子通信开发平台研究与实现

34、基于RTW的AMT代码自动生成技术研究

35、面向汽车电子的嵌入式软件开发应用软件的研究与分析

36、汽车电子驻车制动(EPB)控制系统的研制

37、构建针对车载汽车电子控制装置的硬件在环仿真测试平台

38、我国汽车电子产业的SCP分析

39、汽车电子零部件1米法辐射骚扰测试方法的研究

40、汽车计算平台中的线控节气门系统结构分析与设计

2021电子机械毕业论文题目与选题相关 文章 :

★ 2021机械专业论文题目

2021毕业论文题目怎么定

★ 机械类专业论文选题题目

★ 最新机械电子工程论文题目

★ 优秀论文题目大全2021

★ 大学生论文题目大全2021

★ 机械类学术论文题目

★ 优秀论文题目2021

★ 2021通信专业毕业生论文题目

★ 大学生论文题目参考2021

1、毕业论文怎么选题这个问题其实很简单,那么首先呢,我们应该先问清楚老师有没有给出固定的论文选题,如果没有给出具体的写作要求,那么大家可以从自己的兴趣上下手,进行选题,这样写作论文的话会很轻松的哦。2、毕业论文怎么选题,还有一个小技巧哦,大家可以进行文献梳理,论文的撰写,最重视的就是论文查重了,所以说原创的论文写作是格外重要的,那么大家在进行论文写作的时候,一点就显得格外重要了。有时候就会出现一种情况,论文的选题被其他的人已经进行研究过了,那么自己再做的时候,就是重复性选题了,这样的话,对于大家来说是极其不利的。3、其次呢,毕业论文选题的时候,最重要的一点就是确定选题,论文选题时注意细致具体,千万不要题意模糊不清,以及大而空泛,据了解,选题越小,越容易做的严谨,也更加适合学生把握。

电子琴设计毕业论文

楼主我这里有电子琴的单片机程序,做毕业设计那个我觉得还是自己做得好,因为你没懂的话论文答辩是过不了的。简易电子琴#include<> //包含51单片机寄存器定义的头文件sbit P14=P1^4; //将P14位定义为引脚sbit P15=P1^5; //将P15位定义为引脚sbit P16=P1^6; //将P16位定义为引脚sbit P17=P1^7; //将P17位定义为引脚unsigned char keyval; //定义变量储存按键值sbit sound=P3^7; //将sound位定义为 int C; //全局变量,储存定时器的定时常数unsigned int f; //全局变量,储存音阶的频率//以下是C调低音的音频宏定义#define l_dao 262 //将“l_dao”宏定义为低音“1”的频率262Hz#define l_re 286 //将“l_re”宏定义为低音“2”的频率286Hz#define l_mi 311 //将“l_mi”宏定义为低音“3”的频率311Hz#define l_fa 349 //将“l_fa”宏定义为低音“4”的频率349Hz#define l_sao 392 //将“l_sao”宏定义为低音“5”的频率392Hz#define l_la 440 //将“l_a”宏定义为低音“6”的频率440Hz#define l_xi 494 //将“l_xi”宏定义为低音“7”的频率494Hz//以下是C调中音的音频宏定义#define dao 523 //将“dao”宏定义为中音“1”的频率523Hz#define re 587 //将“re”宏定义为中音“2”的频率587Hz#define mi 659 //将“mi”宏定义为中音“3”的频率659Hz#define fa 698 //将“fa”宏定义为中音“4”的频率698Hz#define sao 784 //将“sao”宏定义为中音“5”的频率784Hz#define la 880 //将“la”宏定义为中音“6”的频率880Hz#define xi 987 //将“xi”宏定义为中音“7”的频率53//以下是C调高音的音频宏定义#define h_dao 1046 //将“h_dao”宏定义为高音“1”的频率1046Hz#define h_re 1174 //将“h_re”宏定义为高音“2”的频率1174Hz#define h_mi 1318 //将“h_mi”宏定义为高音“3”的频率1318Hz#define h_fa 1396 //将“h_fa”宏定义为高音“4”的频率1396Hz#define h_sao 1567 //将“h_sao”宏定义为高音“5”的频率1567Hz#define h_la 1760 //将“h_la”宏定义为高音“6”的频率1760Hz#define h_xi 1975 //将“h_xi”宏定义为高音“7”的频率1975Hz/**************************************************************函数功能:软件延时子程序**************************************************************/void delay20ms(void) {unsigned char i,j;for(i=0;i<100;i++)for(j=0;j<60;j++);}/*******************************************函数功能:节拍的延时的基本单位,延时200ms******************************************/void delay() {unsigned char i,j;for(i=0;i<250;i++)for(j=0;j<250;j++);}/*******************************************函数功能:输出音频入口参数:F******************************************/void Output_Sound(void){C=(46083/f)*10; //计算定时常数TH0=(8192-C)/32; //可证明这是13位计数器TH0高8位的赋初值方法TL0=(8192-C)%32; //可证明这是13位计数器TL0低5位的赋初值方法TR0=1; //开定时T0delay(); //延时200ms,播放音频TR0=0; //关闭定时器sound=1; //关闭蜂鸣器keyval=0xff; //播放按键音频后,将按键值更改,停止播放}/*******************************************函数功能:主函数******************************************/ void main(void){ EA=1; //开总中断ET0=1; //定时器T0中断允许ET1=1; //定时器T1中断允许TR1=1; //定时器T1启动,开始键盘扫描TMOD=0x10; //分别使用定时器T1的模式1,T0的模式0TH1=(65536-500)/256; //定时器T1的高8位赋初值TL1=(65536-500)%256; //定时器T1的高8位赋初值 while(1) //无限循环{switch(keyval){case 1:f=dao; //如果第1个键按下,将中音1的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 2:f=l_xi; //如果第2个键按下,将低音7的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 3:f=l_la; //如果第3个键按下,将低音6的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 4:f=l_sao; //如果第4个键按下,将低音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 5:f=sao; //如果第5个键按下,将中音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 6:f=fa; //如果第6个键按下,将中音4的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 7:f=mi; //如果第7个键按下,将中音3的频率赋给fOutput_Sound(); //转去计算定时常数 break; case 8:f=re; //如果第8个键按下,将中音2的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 9:f=h_re; //如果第9个键按下,将高音2的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 10:f=h_dao; //如果第10个键按下,将高音1的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 11:f=xi; //如果第11个键按下,将中音7的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 12:f=la; //如果第12个键按下,将中音6的频率赋给fOutput_Sound(); //转去计算定时常数 break; case 13:f=h_la; //如果第13个键按下,将高音6的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 14:f=h_sao; //如果第14个键按下,将高音5的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 15:f=h_fa; //如果第15个键按下,将高音4的频率赋给fOutput_Sound(); //转去计算定时常数 break;case 16:f=h_mi; //如果第16个键按下,将高音3的频率赋给fOutput_Sound(); //转去计算定时常数 break; } } } /**************************************************************函数功能:定时器T0的中断服务子程序,使引脚输出音频方波**************************************************************/ void Time0_serve(void ) interrupt 1 using 1 {TH0=(8192-C)/32; //可证明这是13位计数器TH0高8位的赋初值方法TL0=(8192-C)%32; //可证明这是13位计数器TL0低5位的赋初值方法 sound=!sound; //将引脚取反,输出音频方波}/**************************************************************函数功能:定时器T1的中断服务子程序,进行键盘扫描,判断键位**************************************************************/ void time1_serve(void) interrupt 3 using 2 //定时器T1的中断编号为3,使用第2组寄存器{TR1=0; //关闭定时器T0P1=0xf0; //所有行线置为低电平“0”,所有列线置为高电平“1”if((P1&0xf0)!=0xf0) //列线中有一位为低电平“0”,说明有键按下{delay20ms(); //延时一段时间、软件消抖if((P1&0xf0)!=0xf0) //确实有键按下{P1=0xfe; //第一行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=1; //可判断是S1键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=2; //可判断是S2键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=3; //可判断是S3键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=4; //可判断是S4键被按下P1=0xfd; //第二行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=5; //可判断是S5键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=6; //可判断是S6键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=7; //可判断是S7键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=8; //可判断是S8键被按下P1=0xfb; //第三行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=9; //可判断是S9键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=10; //可判断是S10键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=11; //可判断是S11键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=12; //可判断是S12键被按下P1=0xf7; //第四行置为低电平“0”(输出低电平“0”)if(P14==0) //如果检测到接引脚的列线为低电平“0”keyval=13; //可判断是S13键被按下if(P15==0) //如果检测到接引脚的列线为低电平“0”keyval=14; //可判断是S14键被按下if(P16==0) //如果检测到接引脚的列线为低电平“0”keyval=15; //可判断是S15键被按下if(P17==0) //如果检测到接引脚的列线为低电平“0”keyval=16; //可判断是S16键被按下}}TR1=1; //开启定时器T1TH1=(65536-500)/256; //定时器T1的高8位赋初值TL1=(65536-500)%256; //定时器T1的高8位赋初值 }

简易电子琴的设计摘 要 随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是简易电子琴的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。关键词 电子琴;课程设计;EDA;VHDL1 引言 课程设计的目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 课程设计的内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。(3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。2 开发工具简介 EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。硬件描述语言—VHDLVHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 VHDL的设计流程:(1) 设计输入根据电路设计所提出的要求,将程序输入到VHDL编辑器中去编辑。(2) 功能级模拟用VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。(3) 逻辑综合与优化 将通过功能模拟的程序放到VHDL编译器中,进行逻辑综合与优化。(4) 门级模拟对电路用VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。(5) 版图生成 用相应的软件处理后,就可以拿去制版。设计过程设计规划根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图3-1所示,它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。图3-1 系统的整体组装设计原理图 各模块的原理及其程序(1)乐曲自动演奏模块乐曲自动演奏模块()的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY AUTO ISPORT ( CLK : IN STD_LOGIC;AUTO : IN STD_LOGIC;CLK2 : BUFFER STD_LOGIC;INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END AUTO;ARCHITECTURE BEHAVIORAL OF AUTO ISSIGNAL COUNT0: INTEGER RANGE 0 TO 31;BEGINPULSE0 :PROCESS(CLK,AUTO)VARIABLE COUNT :INTEGER RANGE 0 TO 8;BEGINIF AUTO ='1' THENCOUNT := 0;CLK2<='0';ELSIF(CLK'EVENT AND CLK ='1')THENCOUNT :=COUNT +1;IF COUNT =4 THENCLK2 <='1';ELSIF COUNT =8 THENCLK2<='0'; COUNT:=0;END IF ;END IF ;END PROCESS;MUSIC:PROCESS(CLK2)BEGINIF (CLK2'EVENT AND CLK2='1')THENIF (COUNT0=31)THENCOUNT0<=0;ELSECOUNT0<=COUNT0+1;END IF ;END IF ;END PROCESS;COM1:PROCESS(COUNT0,AUTO,INDEX2)BEGINIF AUTO ='0' THENCASE COUNT0 ISWHEN 0=>INDEX0<="00000100"; --3WHEN 1=>INDEX0<="00000100"; --3WHEN 2=>INDEX0<="00000100"; --3WHEN 3=>INDEX0<="00000100"; --3WHEN 4=>INDEX0<="00010000"; --5WHEN 5=>INDEX0<="00010000"; --5WHEN 6=>INDEX0<="00010000"; --5WHEN 7=>INDEX0<="00100000"; --6WHEN 8=>INDEX0<="10000000"; --8WHEN 9=>INDEX0<="10000000"; --8WHEN 10=>INDEX0<="10000000"; --8WHEN 11=>INDEX0<="00000100"; --3WHEN 12=>INDEX0<="00000010"; --2WHEN 13=>INDEX0<="00000010"; --2WHEN 14=>INDEX0<="00000001"; --1WHEN 15=>INDEX0<="00000001"; --1WHEN 16=>INDEX0<="00010000"; --5WHEN 17=>INDEX0<="00010000"; --5WHEN 18=>INDEX0<="00001000"; --4WHEN 19=>INDEX0<="00001000"; --4WHEN 20=>INDEX0<="00001000"; --4WHEN 21=>INDEX0<="00000100"; --3WHEN 22=>INDEX0<="00000010"; --2WHEN 23=>INDEX0<="00000010"; --2WHEN 24=>INDEX0<="00010000"; --5WHEN 25=>INDEX0<="00010000"; --5WHEN 26=>INDEX0<="00001000"; --4WHEN 27=>INDEX0<="00001000"; --4WHEN 28=>INDEX0<="00000100"; --3WHEN 29=>INDEX0<="00000100"; --3WHEN 30=>INDEX0<="00000010"; --2WHEN 31=>INDEX0<="00000010"; --2WHEN OTHERS =>NULL;END CASE;ELSE INDEX0<=INDEX2;END IF;END PROCESS;END BEHAVIORAL;(2) 音调发生模块音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音节的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY TONE ISPORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HIGH: OUT STD_LOGIC;TONE0: OUT INTEGER RANGE 0 TO 2047);END TONE;ARCHITECTURE ART OF TONE ISBEGINSEARCH : PROCESS(INDEX)BEGINCASE INDEX ISWHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';END CASE;END PROCESS;END ART;(3) 数控分频模块数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。VHDL源程序()LIBRARY IEEE;USE ;USE ;USE ;ENTITY FENPIN ISPORT(CLK1: IN STD_LOGIC;TONE1: IN INTEGER RANGE 0 TO 2047;SPKS: OUT STD_LOGIC);END ENTITY FENPIN;ARCHITECTURE ART OF FENPIN ISSIGNAL PRECLK:STD_LOGIC;SIGNAL FULLSPKS:STD_LOGIC;BEGINPROCESS(CLK1)VARIABLE COUNT:INTEGER RANGE 0 TO 8;BEGINIF (CLK1'EVENT AND CLK1='1')THENCOUNT:=COUNT +1;IF COUNT=2 THENPRECLK<='1';ELSIF COUNT =4 THENPRECLK<='0';COUNT:=0;END IF ;END IF ;END PROCESS;PROCESS(PRECLK,TONE1)VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;BEGINIF (PRECLK'EVENT AND PRECLK='1')THENIF COUNT11CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO=>HANDTOAUTO);U1:TONEPORTMAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1);U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT);END ART;4 波形仿真(1)乐曲自动演奏模块的仿真(如图4-1所示)图4-1乐曲自动演奏模块的仿真图(2)音调发生模块的仿真(如图4-2)图4-2 音调发生模块的仿真图(3)数控分频模块的仿真(如图4-3)图4-3数控分频模块仿真图(4)简易电子琴整个系统的仿真(如图4-4)图4-4简易电子琴整个系统的仿真图5 结束语经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:1) 这个设计的基本是接触一门新的语言并加以应用,对于我来说,没有想到的是入手的速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。知识的接收速度在很大的程度上决定了动手的时间。2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL编程中依然起着很大的作用。一通百通,不是没有道理的。对于学习新的知识并予以应用的信心,显得更足了。3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。4)通过这个程序设计让我学会一种新的语言,对数字系统结构也有了更进一步的了解和认识,对我以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。通过几天的课程设计,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。在整个设计过程中,有很多人对任务的完成给予了重要的支持和帮助。感谢老师给了我本次设计的机会并提供指导;感谢许多同学在我此课程设计遇到问题时给我的帮助使我能够顺利地进行设计的工作;论坛中有很多认识不认识的朋友也都为我的设计提出了很宝贵的建议,同样在这里感谢他们。参考文献《VHDL与数字电路设计》.卢毅, 赖杰. 科学出版社《VHDL语言100例详解——北京理工大学ASIC研究所》.北京理工大学ASIC研究所. 清华大学出版社《VHDL 程序设计》(第二版). 曾繁泰等. 清华大学出版社《VHDL入门与应用》陈雪松, 滕立中 .人民邮电出版社 《VHDL简明教程》.王小军 .清华大学出版社

  • 索引序列
  • 双排键电子琴毕业论文
  • 趣味电子琴8键毕业论文
  • 双排键作品毕业论文
  • 双排键的毕业论文选题
  • 电子琴设计毕业论文
  • 返回顶部