• 回答数

    5

  • 浏览数

    170

smilejune521
首页 > 期刊论文 > 电子时钟与日历设计论文答辩稿

5个回答 默认排序
  • 默认排序
  • 按时间排序

红月光薇儿

已采纳

我一直都是从电池-石英钟里面取秒信号。 注意,石英钟的线圈驱动,是用两个图腾柱输出驱动,有一种音频功放就是这种结构,每个图腾柱有上下两个串联的开关,两个图腾柱的上下开关交替导通,每次导通的时间大约几十毫秒。 要是从一个图腾柱取信号,好像是两秒一次, 从两个图腾柱取信号,经过或门,就是一秒一次脉冲。 再经过计数器,给定器,对前两者符合判别的异或门,就能达到要求。 特别要注意,石英钟的信号是1伏特多点,要用三极管进行电平放大! 计数器一般用二极管与门判别,符合后产生单稳触发计数器复位和定时输出信号。 下岗清洁工人答复

334 评论

Dianayaoyao

目录摘 要 IAbstract II目录 III第一章 绪 论 - 1 课题的背景 - 1 课题意义 - 2 本章小结 - 3 -第二章 总体设计方案与论证 - 4 电源模块方案的选择与论证 - 4 时钟电路方案的选择与论证 - 4 显示电路方案的选择与论证 - 5 闹钟电路方案的选择与论证 - 5 键扫描电路方案的选择与论证 - 6 本章小结 - 6 -第三章 系统硬件设计 - 7 主控芯片STC89C52的介绍 - 7 STC89C52的主要性能参数 - 7 STC89C52单片机的功能特性概述: - 8 时钟部分功能介绍及电路设计 - 11 显示模块功能介绍及电路设计 - 14 闹钟电路模块介绍及电路设计 - 16 功能按键模块介绍及电路设计 - 17 电源模块介绍及电路设计 - 17 本章小结 - 18 -第四章 系统软件设计 - 19 日历程序设计 - 19 时间调整程序设计 - 20 闹钟设置程序设计 - 22 闹钟蜂鸣程序设计 - 23 本章小结 - 23 -第五章 系统调试 - 24 系统的调试 - 24 系统的调试出现的问题及解决 - 24 本章小结 - 24 -第六章 结 论 - 25 -参考文献 - 26 -致 谢 - 27 -附录 - 28 -附录一 :本设计电路原理图 - 28 -附录二:数字日历钟电路设计的C程序 - 29 -

102 评论

jhaiyun888

新手 拿分来了 什么都不会只会单片机一功能模、设计指标:1. 显示时、分、秒。2. 可以24小时制或12小时制。3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借用电路中的时钟。4. 具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。二、设计要求:1. 画出总体设计框图,以说明数字钟由哪些相对独立的块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。2. 设计各个功能模块的电路图,加上原理说明。3. 选择合适的元器件,在面包上接线验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求: 自行装配、接线和调试,并能检查和发现问题,根据原理、现象和测量的数据分析问题所在,加以解决。学生要解决的问题包括元器件和面包板故障引起的问题。四、设计报告内容要求:1. 目的。2. 设计指标。3. 画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。4. 元器件清单。5. 设计制作的进程,考虑时钟及控制信号的关系、测试、验证的顺序,写出自己的工作进程。6. 画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到十位的进位信号选择和变换等)。7. 画出总布局接线图(集成块按实际布局位置画,关键的连接单独应画出,计数器到译码器的数据线、译码器到数码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称。)8. 描述设计制作的数字钟的运行结果和操作。9. 总结。  设计过程中遇到的问题及解决办法  课程设计过程体会对课程设计内容、方式、要求等各方面的建议。 五、实验仪器、工具:1. 5V电源(或实验箱)4个人合用1个。2. 四连面包板1块。3. 示波器2个(每班)4. 万用表5个(每班)。5. 镊子1把。6. 剪刀1把。 六、实验器件 1. 网络线2米/人。2. 共阴八段数码管6个。3. CD4511集成块6块。4. CD4060集成块1块。5. 74HC390集成块3块。6. 74HC51集成块1块。7. 74HC00集成块4块。8. 74HC30集成块1块。9. 10MΩ电阻5个。10. 500Ω电阻14个。11. 30p电容2个。12. 时钟晶体1个。13. 蜂鸣器10个(每班) 七、设计过程的日程安排 6月28日1. 分发仪器、工具、器件2. 讲解总体设计的过程,明确数字钟实现的功能,由哪些相对独立的功能模块组成,各个模块之间互相联系,时钟信号传输路径、方向和频率变化。3. 讲解面包板的结构和使用方法,连接导线的要点,包括导线剥线头、插线方法、要求,检查面包板,如面包板中的导电铜片变形或移位,更换导电铜片。4. 七段数码引脚排列测试,验证每段显示为一个发光二极管,同时完成对每个数码管的检查。6月29日~7月2日分功能讲解各个模块功能实现原理、实现,搭建实际电路一个个验证。在接线时注意合理布线和接线的可靠性。 6月29日 a) 数码管的译码驱动电路接线、测试、译码器控制功能测试(手工输入测试电平)。除了进一步熟悉原理外,主要练习接线合理布局,走线整齐、美观,用手指触动导线时也能正常工作。可以静态显示学号的后几位。然选一个可正常工作的译码、显示电路,分别测试译码器的3个控制引脚的作用。 6月30日b) 晶体震荡电路接线、测试(用示波器测量4060输入时钟,每一路分频输出的频率)。c) 5进制计数器接线,输入用4060的2Hz,输出用数码管显示。7月1日d) 10进制计数器接线、测试。e) 6进制计数器接线、测试(在10进制基础上改)。 7月2日f) 60进制计数器接线、测试。g) 24进制计数器、测试(在60进制基础上改)。h) 校时电路接线(用RS触发器实现锁定、防抖动功能),用示波器观察电路的信号选择功能。 7月5~7日5. 在熟悉各个功能模块基础上,结合对总体框图的理解,设计总接线图。6. 根据总接线图中各种元器件数量、连线,确定所有元器件布局。7. 按以下顺序接线:晶体震荡、秒电路、分电路、时电路。8. 如时间允许加接校时电路和报时电路(整点报时)。 7月8~9日 9. 写课程设计报告。a) 设计的目的、要求。b) 总体框图设计。c) 功能模块设计(对所用元器件使用作一些说明)。d) 总电路图设计。e) 总结:遇到的问题和解决办法、体会、意见、建议等。八、Multisim2001软件部分集成块引脚图 集成块引脚图九、部分原理仿真模块电路 4511构成译码驱动电路 4060构成脉冲发生及分频电路74390 构成十进制计数器 74390构成六进制计数器 74390构成六十进制计数器 校时电路(分校时时,不会进位到小时)十、数字钟的设计与制作原理具体参照:数字电子技术课程设计讲义-数字钟的设计与制作(电子信息学院,2004年6月)十一、Multisim2001软件及其参考仿真电路自己在对应位置下载。 十二、设计经验总结:1. 要求学生根据原理和芯片引脚图,分功能设计原理图,并根据接线顺序分步骤验证。2. 容易出现故障为接触不良。a) a) 集成块引脚方向预先弯好对准面包板的金属孔,再小心插入。b) b) 导线的剥线长度与面包板的厚度相适应(比板的厚度稍短)。c) c) 导线的裸线部分不要露在板的上面,以防短路。d) d) 导线要插入金属孔中央。3. 按照原理图接线时首先确保可靠的电源和接地。4. 注意芯片的控制引脚必须正确接好。5. 检查故障时除测试输入、输出信号外,要注意电源、接地和控制引脚。6. 要注意芯片引脚上的信号与面包板上插座上信号是否一致(集成块引脚与面包板常接触不良)。7. 为了便于测试,可将2Hz信号直接输入到各级计数器。8. 接校时电路时可接模拟信号输入(如1Hz和2Hz)测试输出信号的切换正确后,再将秒进位和分进位信号接到校时电路,再接校时电路输出到分计数器和时计数器。从较时电路接入信号时,必须将原进位信号拔掉。

274 评论

好好在一起吧

基于AT89c51的简易时钟设计摘要:本电子钟是采用电子电路实现对时、分进行数字显示的计时装置,广泛的应用于生活中。电子时钟主要是利用电子技术奖时钟电子化、数字化,拥有时间精确、体积小、界面友好、课扩展性能强等特点,被广泛应用于生活和工作当中。当今市场上的电子时钟品类繁多,外形小巧别致。电子时钟数字化了时间显示。在此基础上,人们可以根据不同场合的要求,在时钟上加置其他功能,本设计由以下几个部件组成:单片机AT89C51、四个八段码共阴极数码管显示、四个微动按钮等其它组件。在启动后开始从00时00分显示。可以手动校准时间,秒使用两个发光二极管的闪烁来提现,本设计设计简单易于实现。关键词:AT89C51、倒计时。LED Simple clock design based on AT89c51Abstract: This clock is the use of electronic circuits to achieve the hours, minutes, digital display of timing devices, widely used in life. Electronic clock main prize is the use of electronic technology electronic clock, digital, with a time accurate, small, friendly interface, expanded its performance and other characteristics, are widely used in life and on the job. The market today, many kinds of electronic clock, compact and chic. Digital electronic time clock display. On this basis, one can according to the requirements of different occasions, plus set the clock on the other features This design consists of the following components: microcontroller AT89C51, four eight out code common cathode LED display, four buttons, and other micro-components. After starting 00 points from 00 shows. You can manually calibrate the time, in seconds using two LEDs blink to mention is, the design is simple design easy to implement. Keywords: AT89C51, countdown. LED 目 录摘要 1关键词 1Simple clock design based on AT89c51 2目录 3第一章引言 时钟的概述 5第二章电路工作原理分析 系统的硬件构成及功能 硬件连接方式 6第三章: 芯片介绍 MCS- 51介绍 LED数码管显示 LED数码管介绍 LED数码管编码方式 LED数码管显示方式和典型应用电路 12第四章 部分电路介绍 单片机的最小应用系统 单片机的时钟电路 复位电路和复位状态 总线结构 此设计显示电路 看门狗电路 按键模块 19第五章程序设计 19第六章 原理图和印制板图的设计 20( 一 ) 原 理 图 的 设 计 和 网 络 表 的 生 成 20(二)PCB的制作和设计 21第七章 原理图的protues仿真 介绍 原理图仿真步骤 26总 结 27谢 辞 28参考资料及文献 29附录一:原理图 30附录二:PCB 31附录三 仿真 32附录四:程序清单 33第一章引言数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用也方便,但鉴于单片机的定时器功能也可以完成数字钟电路的设计,因此进行数字钟的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路,写程序、调试电路的能力。单片机具有体积小、功能强可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,应用前景广阔。 时钟的概述 20世纪末,电子技术获得了飞速的发展。在其推动下,电子产品几乎渗透到了社会的各个领域,有力的推动和提高了社会生产力的发展和信息化程度,同时也使现代电子产品性能进一步提升,产品更新换代的节奏也越来越快。电子钟是采用电子电路实现对时、分、秒进行数字显示的计时装置,广泛的应用于生活中。电子时钟主要是利用电子技术奖时钟电子化、数字化,拥有时间精确、体积小、界面友好、课扩展性能强等特点,被广泛应用于生活和工作当中。当今市场上的电子时钟品类繁多,外形小巧别致。电子时钟数字化了时间显示。在此基础上,人们可以根据不同场合的要求,在时钟上加置其他功能,比如定时闹钟,万年历,环境温度,温度检测,环境空气质量检测,USB扩展功能等。本设计电子时钟主要功能为:具有时间显示和手动校对功能,24小时制。本设计任务“ 1:用4位LED数码管实时显示时钟计时功能;最小显示时间为00时00分,最大显示时间为23时59分;2:能方便的校准小时和分钟。3:了解单片机的基础知识;4;掌握proteus的基本原理和使用方法;5:掌握数码管和LED的显示的方法;6:掌握单片机定时器的基本原理;7:掌握单片机定时器的基本原理;8:掌握绘图软件Proell99se的使用方法; 9:绘制程序流程图和编写出程序;10:画出电路原理图并仿真运行。第二章电路工作原理分析 系统的硬件构成及功能本设计由以下几个部件组成:单片机AT89C51、四个八段码共阴极数码管显示、四个微动按钮等其它组件。在启动后开始从00时00分显示。可以手动校准时间,秒使用两个发光二极管的闪烁来提现,本设计设计简单易于实现。图1 99秒计时器系统原理框图 硬件连接方式数码管使用动态显示,P0口作为四个八位共阴数码管的段选输出端,为提高单片机输出能力 P0口作为输出口接了8个的电阻作为上拉电阻;P2.口是四个八位共阴数码管和两个发光二极管的位选端,显示是事位和分位,四个微动开关做的按键分别连,,完成时和分的加减调整。硬件连接如下: 单片机的最小应用系统单片计算机是一个最小的应用系统,但由于应用系统中有一些功能器件无法集成到芯片内部,如晶振、复位电路等,需要在片外加接相应的电路。对于片内无程序存储器的单片机,还应该配置片外程序存储器。 单片机的时钟电路MCS-51单片机内部的振荡电路是一个高增益反相放大器,引线XTAL1和XTAL2分别是放大器的输入端和输出端。单片机内部虽然有振荡电路,但要形成时钟,外部还需附加电路。MCS-51单片机的时钟产生方式有两种。(1) 内部时钟方式利用其内部的振荡电路在XTAL1和XTAL2引线上外接定时元件,内部振荡电路便产生自激振荡,用示波器可以观察到XTAL2输出的时钟信号。最常用的是在XTAL1和XTAL2之间连接晶体振荡器与电容构成稳定的自激震荡器,如图3-1所示。晶体可在之间选择。MCS-51单片机在通常应用情况下,使用振荡频率为6MHz的石英晶体,而12Hz频率的晶体主要是在高速串行通信情况下才使用。C1和C2可在20~100pF之间取值,一般取30pF左右。(2) 外部时钟方式在由单片机组成的系统中,为了各单片机之间时钟信号的同步,应当引入惟一的合用外部振荡脉冲作为各单自片机的时钟。外部时钟方式中是把外部振荡信号源直接接入XTAL1或XTAL2。由于HMOS和CHMOS单片机外部时钟进入的引线不同,其外部振荡信号源接入的方式也不同。HMOS型单片机由XTAL2进入,外部振荡信号接至XTAL2,而内部反相放大器的输入端XTAL1应接地,如图3-2所示。由于XTAL2端的逻辑电平不是TTL的,故还要接一上接电阻。CHMOS型单片机由XTAL1进入,外部振荡信号接至XTAL1,而XTAL2可不接地,如图3-3所示。图3-1内部时钟电路 图3-2HMOS型外部时钟电路 图3-3外部时钟电路 复位电路和复位状态MCS-51单片机的复位是靠外部电路实现的。MCS-51单片机工作后,只要在它的RST引线上加载10ms以上的高电平,单片机就能够有效地复位。(1) 复位电路MCS-51单片机通常采用上电自动复位和按键复位两种方式。最简单的复位电路如图3-4所示。上电瞬间,RC电路充电,RST引线端出现正脉冲,只要RST端保持10ms以上的高电平,就能使单片机有效地复位。图 3-4 简单的复位电路在实际的应用系统中,为了保证单片机可靠地工作,常采用“看门狗”监视单片机的运行。采用MAX690的复位电路如图3-5所示,该电路具有上电复位和监视MCS-51单片机的的输出功能。一旦不输出高低电平交替变化的脉冲,MAX690就会自动产生一复位信号使单片机复位。图3-5 MAX690组成的复位电路 (2) 复位状态复位电路的作用是使单片机执行复位操作。复位操作主要是把PC初始化为0000H,使单片机从程序存储器的0000H单元开始执行程序。程序存储器的0003H单元即MCS-51单片机的外部中断0的中断处理程序的入口地址。留出的0000H~0002H 3个单元地址,仅能够放置一条转移指令,因此,MCS-51单片机的主程序的第一条指令通常情况下是一条转移指令。除PC之外,复位还对其他一些特殊功能的寄存器有影响,它们的复位状态如表3-6所示。由表3-6可知,除SP=07H,P0~P3 4个锁存器均为FFH外,其他所有的寄存器均为0。此外,单片机的复位不影响片内RAM的状态(包括通用寄存器Rn)。表3-6 寄存器的复位状态寄存器 复位状态 寄存器 复位状态PC 0000H TMOD 00HACC 00H TCON OOHPSW 00H TL0 00HSP 07H TH0 00HDPTR 0000H TL1 00HP0~P3 FFH TH1 00HIP Xxx00000B SCON 00HIE 0xx00000B PCON 0xx00000B P0、P1、P2、P3共有4个8位并行I/O口,它们引线为:~、~、~、~,共32条引线。这32条引线可以全部用做I/O线,也可将其中部分用做单片机的片外总线。① 控制线A、ALE地址锁存允许当单片机访问外部存储器时,输出信号ALE用于锁存P0口输出的低8位地址A7~A0。ALE的输出频率为时钟振荡频率的1/6。B、 程序存储器选择 =0,单片机只访问外部程序存储器。对内部无程序存储器的单片机8031, 必须接地。 =1,单片机访问内部程序存储器,若地址超过内部程序存储器的范围,单片机将自动访问外部程序存储器。对内部有程序存储器的单片机, 应接高电平。C、 片外程序存储器的选通信号。此信号为读外部程序存储器的选通信号。D、RST复位信号输入② 电源及时钟VSS地端接地线,VCC电源端接+5V,XTAL1和XTAL2接晶振或外部振荡信号源。图3-7 片外3总线结构总线结构单片机的引线除了电源、复位、时钟输入、用户I/O口外,其余引线都是为实现系统扩展则设置的,这些引线构成了单片机外部的3总线形式,如图3-7所示。① 地址总线地址总线宽度为16位,由P0口经地址锁存器提供低8位地址(A7~A0),P2口直接提供高8位地址(A15~A8)。由口的位结构可知,MCS-51单片机在进行外部寻址时,P0口的8根引绠低8位地址和8位数据的复用线。P0口首先将低8位的地址发送出去,然后再传送数据,因此要用锁存器将先送出的低8位地址锁存。MCS-51常用74LS373或8282做地址锁存器。② 数据总线数据总线宽度为8位,由P0口提供。③ 控制总线MCS-51用于外部扩展的控制总线除了它自身引出的控制线RES、 、ALE、 外,还有由P3口的第二功能引线:外部中断0和外部中断1输入线 和 ,以及外部RAM或I/O端口的读选通和写选通信号 和 。 MCS—51单片机的最小应用系统 构成最小应 MCS—51单片机的最小应用系统用系统时只要将单片机接上外部的晶体或时钟电路和复位电路即可,如图3-8所示,这样构成的最小系统简单可靠,其特点是没有外部扩展,有可供用户选用的大量I/O线。此设计显示电路数码管使用动态显示,P0口作为四个八位共阴数码管的段选输出端,因为P0口作为输出口接了8个的电阻作为上拉电阻;P2口四个八位共阴数码管的位选端,显示是两位时间的事时位和两位的分位。电源电路 由于该系统需要稳定的5 V电源,因此设计时必须采用能满足电压、电流和稳定性要求的电源。该电源采用三端集成稳压器LM7805。它仅有输人端、输出端及公共端3个引脚,其内部设有过流保护、过热保护及调整管安全保护电路,由于所需外接元件少,使用方便、可靠,因此可作为稳压电源。图4为电源电路连接图。看门狗电路系统中把作为看门狗的“喂狗”信号;将MAX813的 RESET与单片机的复位信号RST连接。由于单片机每执行一次程序,就会给看门狗器件一个复位信号,这样也可以用手工方式实现复位。当按键按下时,SW-SPST就会在MAX813 引脚产生一个超过200ms的低电平,其实看门狗器件在 时间内没有复位,使7引脚输出一个复位信号的作用是相同的,其连接图如图6所示。 按键模块下图为按键模块电路原理图,S1为时加,s2为时减,S3为分钟加调控键,S4是分钟减调控键。LED_BIT_1 EQU 30H ; 存放8位数码管的段码LED_BIT_2 EQU 31HLED_BIT_3 EQU 32HLED_BIT_4 EQU 33HLED_BIT_5 EQU 34HLED_BIT_6 EQU 35HLED_BIT_7 EQU 36HLED_BIT_8 EQU 37H ; 存放初始密码SECOND EQU 60HMINUTE EQU 61HHOUR EQU 62HTCNT EQU 63H ORG 00H ;初始化程序 ,设置初始密码 SJMP START ORG 0BH LJMP INT_T0START: MOV DPTR,#TABLE MOV HOUR,#0 MOV MINUTE,#0 MOV TCNT,#0 MOV TMOD,#01H MOV TH0,#03ch ;定时50毫秒 MOV TL0,#03ch MOV IE,#082H SETB TR0 MOV LED_BIT_1,#00H ;段码存储区清0 MOV LED_BIT_2,#00H MOV LED_BIT_3,#00H MOV LED_BIT_4,#00H MOV LED_BIT_5,#00H MOV LED_BIT_6,#00H MOV LED_BIT_7,#79H MOV LED_BIT_8,#73HMOV TMOD,#01H MOV TH0,#0fdh MOV TL0,#0fdh MOV IE,#82H A1: LCALL DISPLAY ;调用时间显示 JNB JNB JNB JNB LJMP A1S1: LCALL DLY_S ;去抖动 JB INC HOUR ;秒值加1 MOV A, HOUR CJNE A,#24,J00 ;判断是否加到60秒 MOV HOUR,#0 LJMP A1S2: LCALL DLY_S JB K01: DEC HOUR ;SHI- MOV A,HOUR CJNE A,#0,J01 ;判断是否-0分 MOV HOUR,#24 LJMP A1S3: LCALL DLY_S JB K02: INC MINUTE ;小时值加1 MOV A,MINUTE CJNE A,#60,J02 ;判断是否加到24小时 MOV MINUTE,#0 LJMP A1S4: LCALL DLY_S JB K03: DEC MINUTE ;小时值加1 MOV A,MINUTE CJNE A,#0,J03 ;判断是否加到24小时 MOV MINUTE,#59 LJMP A1J00: JB ;等待按键抬起 LCALL DISPLAY SJMP J00J01: JB LCALL DISPLAY SJMP J01J02: JB LCALL DISPLAY SJMP J02J03: JB LCALL DISPLAY SJMP J03INT_T0: MOV TH0,#3ch ;定时器中断服务程序 MOV TL0,#3ch ;对秒,分钟和小时的计数 INC TCNT MOV A,TCNT CJNE A,#20,RETUNE ;计时1秒 INC SECOND MOV TCNT,#0 MOV A,SECOND CJNE A,#60,RETUNE INC MINUTE MOV SECOND,#0 MOV A,MINUTE CJNE A,#60,RETUNE INC HOUR MOV MINUTE,#0 MOV A,HOUR CJNE A,#24,RETUNE MOV HOUR,#0 MOV MINUTE,#0 MOV SECOND,#0 MOV TCNT,#0RETUNE: RETI;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;DIS3闹铃设置子程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;DIS3DISPLAY: ;显示时间控制子程序 MOV A,SECOND ;显示秒 MOV B,#10 DIV AB CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB MOV A,B CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB CLR MOV P0,#40H ;显示分隔符 LCALL DLY_S SETB MOV A,MINUTE ;显示分钟 MOV B,#10 DIV AB CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB MOV A,B CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB CLR MOV P0,#40H ;显示分隔符 LCALL DLY_S SETB MOV A,HOUR ;显示小时 MOV B,#10 DIV AB CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB MOV A,B CLR MOVC A,@A+DPTR MOV P0,A LCALL DLY_S SETB RETTABLE: DB 3FH,06H,5BH,4FH,66H DB 6DH,7DH,07H,7FH,6FH;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;延时DLY_S: MOV R6,#5 ;延时程序D1: MOV R7,#100 DJNZ R7,$ DJNZ R6,D1 RETDLY_L: MOV R5,#50D2: MOV R6,#100D3: MOV R7,#100 DJNZ R7,$ DJNZ R6,D3 DJNZ R5,D2 RET END 第五章程序设计程序只要完成了初始化,计时,在计时过程中判断按键情况,做相应处理。流程如下。

228 评论

漩海灵猫

基于51单片机的遥控电子钟的设计 第二十六页Ji Yu 5 1 Dan Pian Ji De Yao Kong Dian Zi Zhong De She Ji更新时间:2011-1-4点击:2作者:佚名【内容摘要】本毕业设计项目根据毕业设计任务书指定和我校高职高专特点的要求,体现毕业生的实践动手能力、创新思维、解决问题的能力和对所学知识的综合运用能力,为学校教学楼设计制作一套遥控电子钟系统,整个系统中的大型数码管、控制电路、遥控发射和接收电路、印刷电路板、编程器以及外壳等自己设计制作,可实现如下功能: 1、 采用数字显示,外形美观、大方,显示醒目、直观。 2、 秒、分钟及小时的显示,计时准确,每年的时间误差小于一分钟。 3、 可显示星期,不得有误差。 4、 可用遥控来对数字钟进行调整,便于使用。市电断电后能继续保持时间的正常运行,来电后恢复显示。 标签收藏:51单片机 遥控电子钟 设计 遥控 电子钟 单片机 该文章转自《论文帮 - 应用基础频道》

317 评论

相关问答

  • 电子时钟毕业论文例子

    好做,但工作量太大!

    鱼京自心 5人参与回答 2023-12-11
  • 单片机电子时钟毕业论文设计

    我可以给你一个模拟的,但是原理差不多,就是要用个单片机吗,自己要多动脑筋,肯定可以做出来的下面是具体的设计: 数字时钟的设计与制作摘要:本系统是采用5

    烧卖吃饱了 6人参与回答 2023-12-11
  • 论文答辩时候稿子

    论文答辩陈述稿 在社会的各个领域,大家都写过论文,肯定对各类论文都很熟悉吧,论文对于所有教育工作者,对于人类整体认识的提高有着重要的意义。你知道论文怎样写才规范

    爱欧尼亚荒原 3人参与回答 2023-12-05
  • 电子时钟与日历设计论文答辩稿

    我一直都是从电池-石英钟里面取秒信号。 注意,石英钟的线圈驱动,是用两个图腾柱输出驱动,有一种音频功放就是这种结构,每个图腾柱有上下两个串联的开关,两个图腾柱的

    smilejune521 5人参与回答 2023-12-08
  • 论文答辩自述3分钟稿子

    毕业论文答辩的自我介绍(精选9篇) 自我介绍作为答辩的开场白,包括姓名、学号、专业,介绍时要举止大方、从容、面带微笑,礼貌得体的介绍自己,争取给答辩小组一个良好

    垂杨紫陌 3人参与回答 2023-12-09