首页 > 论文发表知识库 > fpga数字频率计毕业论文

fpga数字频率计毕业论文

发布时间:

fpga数字频率计毕业论文

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use ;use ;use ;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ;USE ;USE ; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

相关论文已经打包发给你了,你要的英文翻译我没明白意思,是英文版论文吗,还是英文摘要啊,

天下没有免费的午餐

英文翻译可以随便找一篇,无所谓的,跟你的论文题目相关,这是我在Altera官网上找的,你自己看看,行不

数字频率计毕业论文设计

天下没有免费的午餐

电梯控制系统设计基于西门子PLC的电梯控制系统

1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去

数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。它利用VHDL硬件描述语言进行设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)实现数字频率计的设计原理及相关程序。通过了Max+plusⅡ软件进行仿真、硬件调 ... 你可以去这个网 址看看

数字频率计的设计毕业论文

这样类型的文章 我知道怎么写 老师有问题 包修改 包通过 ↓↓↓↓↓下面可以找到我

电梯控制系统设计基于西门子PLC的电梯控制系统

电子信息工程毕业论文题目参考

论文写作,简单的说,就是大专院校毕业论文的写作,包含着本科生的学士论文,研究生的硕士论文,博士生的博士论文,延伸到了职称论文的写作以及科技论文的写作。论文的题目是论文的关键,有画龙点睛之效。下面是我为大家整理的电子信息工程毕业论文题目,大家不妨多加参考。

1.基于单片机的火灾报警器设计

2.基于NE555的触摸式报警器

3.数字密码锁设计

4.基于单片机智能电子时钟设计及应用

5.流水灯控制电路设计

6.简易单片机控制电路实验开发板

7.全自动洗衣机自动控制电路部分设计

8.基于单片机的八路抢答器的设计及PCB板的设计

9.基于单片机的数字温度计的设计

10.仓库温湿度的监测系统

11.直流稳压电源的制作

12.步进电机的单片机控制系统

13.单片机交通灯管理系统

单片机交通灯控制系统制作

15.基于单片机的步进电机系统设计

16.基于WML的学生网站开发

17.基于单片机的电子密码锁

18.单片机驱动步进电机控制系统的设计

19.基于单片机的流水灯设计

显示屏动态显示及其远程控制

21.基于DSP的高速多通道同步数据采集系统

22.篮球竞赛30S计时器

位数字抢答器

24.一种实用型心率计的设计

25.温度测控系统的设计

26.药品生产线上的药丸控制电路设计

27.基于选修课程的网站设计

28.基于单片机的交通灯设计

29.单片机控制的数字触发器

30.温度测控系统

31.基于单片机的数字时钟设计

32.篮球30秒定时器

33.电子万年历

34.基于单片机的智能节水控制器设计

35.嵌入式通用I/O键盘应用设计

36.数码显示的八路抢答器设计

37.基于PLC的四路抢答器设计

38.基于单片机的数字电子钟的`设计

39.超外差中波调幅收音机的组装及调试

40.基于单片机的无线电数字发射系统设计

41.基于80C51的智能汽车自控系统的设计

实现十字路交通灯自动控制

43.智能型充电器的电源和显示设计

44.基于单片机的电子时钟设计及应用

45.基于单片机的智能电子时钟的设计及应用

46.超外差中波调幅收音机组装及调试

47.基于USB接口的步进电机控制的研究与实现

48.基于单片机的电子琴设计

49.基于FPGA的直序扩频通信研究与设计

50.基于单片机的发射机控制系统

51.声光报警器的设计与研究

52.单片机电源

53.基于P87LPC768的电机控制系统

54.基于单片机的LCD电子钟设计

55.音响放大器的设计

56.超外差收音机制作及分析研究

频带传输系统的设计与实现

58.基于单片机智能电子钟的设计

与串行接口转换器的设计

60.基于FPGA的数字频率计的设计

1.卷积编码和维特比译码的FPGA实现

音频编译码算法研究与FPGA实现

调制解调技术研究及FPGA仿真实现

4.基于FPGA的高斯白噪声发生器设计与实现

5.无线通信系统选择分集技术研究

系统空时分组编码的性能研究

7.基于量子烟花算法的认知无线电频谱分配技术研究

8.基于量子混沌神经网络的鲁棒多用户检测器

9.无线紫外光多址通信关键技术研究

10.认知无线电网络的频谱分配算法

11.基于软件无线电的多制式通信信号产生器设计与实现

12.开关电源EMI滤波器的设计

13.反激式电源传导噪声模态分离技术的研究

14.核电磁脉冲源辐射的数值仿真

15.基于MATLAB的扩频通信系统及同步性能仿真

16.一种多频带缝隙天线的设计

调制解调器及同步性能的仿真分析

18.跳频频率合成器的设计

系统子载波间干扰性能分析

20.复合序列扩频通信系统同步方法的研究

21.基于DDS+PLL的频率源设计

22.基于训练序列的OFDM系统同步技术的研究

23.正交频分复用通信系统设计及性能研究

技术研究及其性能比较

25.基于蓝牙的单片机无线通信研究

26.物联网智能温室控制系统中远程信息无线传输的研究

27.船载AIS通信系统调制器的设计与实现

28.基于FPGA的16QAM调制器设计与实现

29.基于多载波通信的信道化技术研究

30.简易无线通信信号分析与测量装置

天下没有免费的午餐

数字频率计论文的文献综述

以下均可参考,从参考网址进入,合适的话,给我加分!谢谢1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文电梯控制的设计与实现 6.恒温箱单片机控制7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文9.函数信号发生器设计论文 变电所一次系统设计11.报警门铃设计论文 单片机交通灯控制13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析15.仓库温湿度的监测系统 16.基于单片机的电子密码锁17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统 毕业论文29.宽带视频放大电路的设计 毕业设计 30.简易数字存储示波器设计毕业论文31.球赛计时计分器 毕业设计论文 数字滤波器的设计毕业论文机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文变电站电气主接线设计 序列在扩频通信中的应用37.正弦信号发生器 38.红外报警器设计与实现39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机 毕业设计论文43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文63.楼宇自动化--毕业设计论文 64.车辆牌照图像识别算法的实现--毕业设计65.超声波测距仪--毕业设计 66.工厂变电所一次侧电气设计67.电子测频仪--毕业设计 68.点阵电子显示屏--毕业设计69.电子电路的电子仿真实验研究 70.基于51单片机的多路温度采集控制系统71.基于单片机的数字钟设计 72.小功率不间断电源(UPS)中变换器的原理与设计73.自动存包柜的设计 74.空调器微电脑控制系统75.全自动洗衣机控制器 76.电力线载波调制解调器毕业设计论文77.图书馆照明控制系统设计 78.基于AC3的虚拟环绕声实现79.电视伴音红外转发器的设计 80.多传感器障碍物检测系统的软件设计81.基于单片机的电器遥控器设计 82.基于单片机的数码录音与播放系统83.单片机控制的霓虹灯控制器 84.电阻炉温度控制系统85.智能温度巡检仪的研制 86.保险箱遥控密码锁 毕业设计变电所的电气部分及继电保护 88.年产26000吨乙醇精馏装置设计89.卷扬机自动控制限位控制系统 90.铁矿综合自动化调度系统91.磁敏传感器水位控制系统 92.继电器控制两段传输带机电系统93.广告灯自动控制系统 94.基于CFA的二阶滤波器设计95.霍尔传感器水位控制系统 96.全自动车载饮水机97.浮球液位传感器水位控制系统 98.干簧继电器水位控制系统99.电接点压力表水位控制系统 100.低成本智能住宅监控系统的设计101.大型发电厂的继电保护配置 102.直流操作电源监控系统的研究103.悬挂运动控制系统 104.气体泄漏超声检测系统的设计105.电压无功补偿综合控制装置 型无功补偿装置控制器的设计电机调速 频段窄带调频无线接收机109.电子体温计 110.基于单片机的病床呼叫控制系统111.红外测温仪 112.基于单片微型计算机的测距仪113.智能数字频率计 114.基于单片微型计算机的多路室内火灾报警器115.信号发生器 116.基于单片微型计算机的语音播出的作息时间控制器117.交通信号灯控制电路的设计 118.基于单片机步进电机控制系统设计119.多路数据采集系统的设计 120.电子万年历 121.遥控式数控电源设计 降压变电所一次系统设计 变电站一次系统设计 124.智能数字频率计 125.信号发生器126.基于虚拟仪器的电网主要电气参数测试设计 127.基于FPGA的电网基本电量数字测量系统的设计 128.风力发电电能变换装置的研究与设计 129.电流继电器设计 130.大功率电器智能识别与用电安全控制器的设计 131.交流电机型式试验及计算机软件的研究 132.单片机交通灯控制系统的设计 133.智能立体仓库系统的设计 134.智能火灾报警监测系统 135.基于单片机的多点温度检测系统 136.单片机定时闹钟设计 137.湿度传感器单片机检测电路制作 138.智能小车自动寻址设计--小车悬挂运动控制系统 139.探讨未来通信技术的发展趋势 140.音频多重混响设计 141.单片机呼叫系统的设计 142.基于FPGA和锁相环4046实现波形发生器 143.基于FPGA的数字通信系统 144.基于单片机的带智能自动化的红外遥控小车 145.基于单片机AT89C51的语音温度计的设计 146.智能楼宇设计 147.移动电话接收机功能电路 148.单片机演奏音乐歌曲装置的设计 149.单片机电铃系统设计 150.智能电子密码锁设计 151.八路智能抢答器设计 152.组态控制抢答器系统设计 153.组态控制皮带运输机系统设计 154..基于单片机控制音乐门铃 155.基于单片机控制文字的显示 156.基于单片机控制发生的数字音乐盒 157.基于单片机控制动态扫描文字显示系统的设计 158.基于LMS自适应滤波器的MATLAB实现 功率放大器毕业论文 160.无线射频识别系统发射接收硬件电路的设计 161.基于单片机PIC16F877的环境监测系统的设计 162.基于ADE7758的电能监测系统的设计 163.智能电话报警器 164.数字频率计 课程设计 165.多功能数字钟电路设计 课程设计 166.基于VHDL数字频率计的设计与仿真 167.基于单片机控制的电子秤 168.基于单片机的智能电子负载系统设计 169.电压比较器的模拟与仿真 170.脉冲变压器设计 仿真技术及应用 172.基于单片机的水温控制系统 173.基于FPGA和单片机的多功能等精度频率计 174.发电机-变压器组中微型机保护系统 175.基于单片机的鸡雏恒温孵化器的设计 176.数字温度计的设计 177.生产流水线产品产量统计显示系统 178.水位报警显时控制系统的设计 179.红外遥控电子密码锁的设计 180.基于MCU温控智能风扇控制系统的设计 181.数字电容测量仪的设计 182.基于单片机的遥控器的设计 电话卡代拨器的设计 184.数字式心电信号发生器硬件设计及波形输出实现 185.电压稳定毕业设计论文 186.基于DSP的短波通信系统设计(IIR设计) 187.一氧化碳报警器 188.网络视频监控系统的设计 189.全氢罩式退火炉温度控制系统 190.通用串行总线数据采集卡的设计 191.单片机控制单闭环直流电动机的调速控制系统 192.单片机电加热炉温度控制系统 193.单片机大型建筑火灾监控系统 接口设备驱动程序的框架设计 195.基于Matlab的多频率FMICW的信号分离及时延信息提取 196.正弦信号发生器 197.小功率UPS系统设计 198.全数字控制SPWM单相变频器 199.点阵式汉字电子显示屏的设计与制作 200.基于AT89C51的路灯控制系统设计 200.基于AT89C51的路灯控制系统设计 201.基于AT89C51的宽范围高精度的电机转速测量系统 202.开关电源设计203.基于PDIUSBD12和K9F2808简易USB闪存设计 204.微型机控制一体化监控系统205.直流电机试验自动采集与控制系统的设计 206.新型自动装弹机控制系统的研究与开发 207.交流异步电机试验自动采集与控制系统的设计208.转速闭环控制的直流调速系统的仿真与设计209.基于单片机的数字直流调速系统设计210.多功能频率计的设计信息移频信号的频谱分析和识别212.集散管理系统—终端设计213.基于MATLAB的数字滤波器优化设计214.基于AT89C51SND1C的MP3播放器215.基于光纤的汽车CAN总线研究216.汽车倒车雷达217.基于DSP的电机控制218.超媒体技术219.数字电子钟的设计与制作220.温度报警器的电路设计与制作221.数字电子钟的电路设计222.鸡舍电子智能补光器的设计223.高精度超声波传感器信号调理电路的设计224.电子密码锁的电路设计与制作225.单片机控制电梯系统的设计226.常用电器维修方法综述227.控制式智能计热表的设计228.电子指南针设计229.汽车防撞主控系统设计230.单片机的智能电源管理系统231.电力电子技术在绿色照明电路中的应用232.电气火灾自动保护型断路器的设计233.基于单片机的多功能智能小车设计234.对漏电保护器安全性能的剖析235.解析民用建筑的应急照明236.电力拖动控制系统设计237.低频功率放大器设计238.银行自动报警系统

随着单片机由于其较小的体积和很高的性价比,而在各种电子产品中受到广泛的应用和发展,单片机的研发人员也在不断的进行技术上的革新。下面是我为大家整理的单片机开题报告范文,欢迎阅读。

基于单片机数字频率计设计开题报告

一、选题的依据及意义:

本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时,都趋向于用竟可能少的硬件来实现,并且尽力把以前由硬件实现的功能部分,通过软件来解决。因为软件实现比硬件实现具有易修改的特点,如简单的修改几行源代码就比在印制电路板上改变几条连线要容易的多,故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。

因为数字频率计是计算机、通讯设备、音频视频等科研生产领域必不可少的测量仪器,所以频率的测量就显得更为重要。在数字电路中,频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。本课题采用的是直接测频式的频率计,设计原理简单、电路稳定、测量精度高,大大的缩短了生产周期。

二、国内外研究概况及发展趋势(含文献综述):

由于当今社会的需要,对信息传输和处理的要求不断提高,对频率的测量的精度也需要更高更准确的时频基准和更精密的测量技术。而频率测量所能达到的精度,主要取决于作为标准频率源的精度以及所使用的测量设备和测量方法。目前,测量频频的方法有直接测频法、内插法、游标法、频差倍增法等等。直接测频的方法较简单,但精度不高。频差倍增多法和周期法是一种频差倍增法和差拍法相结合的测量方法,这种方法是将被测信号和参考信号经频差倍增使被测信号

的相位起伏扩大,再通过混频器获得差拍信号,用电子计数器在低频下进行多周期测量,能在较少的倍增次数和同样的取样时间情况下,得到比测频法更高的系统分辨率和测量精度,但是仍然存在着时标不稳而引入的误差和一定的触发误差。

在电子系统广泛的应用领域中,到处看见处理离散信息的数字电路。供消费用的冰箱和电视、航空通讯系统、交通控制雷达系统、医院急救系统等在设计过程中都用到数字技术。 数字频率计是现代通信测量设备系统中必不可少的测量仪器,不但要求电路产生频率的准确度和稳定度都高的信号,也要能方便的改变频率。

数字频率计的实现方法主要有:直接式、锁相式、直接数字式和混合式

(1)直接式

优点:速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。

(2)锁相式

优点:相位同步的自动控制,制作频率高,功耗低,容易实现系列化、小型化、模

块化和工程化。

(3)直接数字式

优点:电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。

三、研究内容及实验方案:

研究内容:本课题设计以单片机为核心,设计一种数字频率计,应用单片机中的定时器/计数器和中断系统等完成频率的测量。

实验方案:

图1 频率计总体设计框图

四、目标、主要特色及工作进度

目标:

基于单片机的数字频率计,画出电路图并用软件仿真

工作特色:

(1)运用了单片机技术;

(2)运用了C语言、电路等知识;

(3)采用电脑等工具;

(4)采用显示模块、分频模块、单片机模块等;

(5)简单易理解,十分实用。

工作进度:

1、查阅文献,翻译英文资料,书写开题报告; 第1---4周

2、相关资料的获取和必要知识的学习 ; 第5---9周

3、设计系统的硬件和软件模块并调试 第10--14周

4、撰写论文; 第15--16周

5、总结,准备答辩; 第17周

五、参考文献

[1]李学海著.标准80C51单片机基础教程.北京航空航天大学出版社,2006

[2] 戴仙金主编.51单片机及其C语言程序开发实例.清华大学出版社,2008

[3] 李诚人.高宏洋等.嵌入式系统及单片机应用,清华大学出版社,2005

[4] 龚运新编著.单片机C语言开发技术.清华大学出版社,2006

[5] 张天凡等编著.51单片机C语言开发详解.电子工业出版社,2008

[6] 张义和.王敏男等.例说51单片机(C语言版).人民邮电出版社,2008

[7] 张洪润、刘秀英、张亚凡等.单片机应用设计200例 .北京航空航天大学出版社,2006

[8] 彭为、黄科、雷道仲等.单片机典型系统设计实例精讲.电子工业出版社, 2006

[9] 李学海著.标准80C51单片机基础教程.北京航空航天大学出版社,2006

[10] 李朝青.单片机原理及接口技术[M].北京航天航空大学出版社,1998.

[11] 余发山,王福忠.单片机原理应用技术[M].徐州:中国矿业大学出版社,2003.

[12]. System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier Effect[J] ,2002

[13] Yeager to troubleshoot your electronic scale[J]. Powder and Bulk Engineering. 1995

[14]WeiXiaoRu, of a CCD's driving circuit based on Applications,2010,(16).

[15]HeLianYun,The Traffic Signal Lamp System Controlled with Single Chip Study,2008,(01).

基于单片机的火灾报警器

一、毕业设计(论文)课题来源、类型

课题来源:生产(社会)实践

课题类型:毕业设计

二、选题的目的及意义

对于广大居民,尤其是单独居住的老人,无人看护的病人、婴幼儿童等弱势群体在遇到火灾时,行动不便,逃生能力不强,逃生所需时间相对较长,对他们来说火灾的早期报警,争取更多的逃生时间或者及时通知救援人员,避免造成人员伤亡,显得更为重要。

火灾报警器可以让百姓的家居生活更加安全,本报警器是一个由单片机控制的火灾烟雾浓度、温度检测系统,它将传感器输出地电压信号进行A/D转换、滤波、线性化,由单片机将电压值转换为气体浓度和温度送LCD1602液晶显示,并判断是否超过报警上限,若超过,则发出声光报警[1],并将报警情况通过GSM模块发出,同时可以实现消防局对火灾报警的集中接警,专业化处警,以最少的投资实现最快的接警和处警。同时还为接处警人员提供方便快捷的辅助决策手段,提高消防队伍快速反应的能力,密切警民关系。高效的工作,还可以减少火灾给居民带来的人生安全的危害和财产的损失。

三、本课题在国内外的研究状况及发展趋势

以火灾自动报警技术为核心的建筑消防系统,是预防和遏制建筑火灾的重要保障。近年来,我国火灾自动报警工程应用技术实现了较快发展。但由于在实际应用中,火灾自动报警系统的通讯协议不一致,火灾自动报警工程技术水平还相对落后,还存在着一些比较突出的问题。

(1)适用范围过小。我国火灾自动报警系统技术比美、英等发达国家起步较晚,安装范围主要是《高层民用建筑设计防火规范》、《建筑设计防火规范》规定的场所和部位,而在易造成群死群伤的中小型公众聚集场所和社区居民家庭甚至部分高层住宅都没有规定安装火灾自动报警系统,适用范围过小,防范措施不到位。

(2)智能化程度低。我国使用的火灾探测器虽然都进行了智能化设计,但由于传感器探测的参数较少、支持系统的软件开发不成熟、各种算法的准确性缺乏足够验证、火灾现场参数数据库不健全等,火灾自动报警系统难以准确判定粒子(烟气)的浓度、现场温度、光波的强度以及可燃气体的浓度、电磁辐射等指标,造成迟报、误报、漏报情况较多。

(3)网络化程度低。我国应用的火灾119动报警系统形式基本上以区域火灾自动报警系统、集中火灾自动报警系统和控制中心火灾自动报警系统为主,安装形式主要是集散控制方式,自成体系,自我封闭,尚未形成区域性网络化火灾自动报警系统。

(4)组件连接方式有待改善。火灾自动报警系统以多线制和总线制连接方式为主,探测器和报警器及控制器之间是采用两条或多条的铜芯绝缘导线或铜芯电缆穿管相接,存在耗材多、成本高、抗干扰能力差的缺点。同时,铜导线耐高温性能差、易磨损,系统施工维修复杂,影响了火灾自动报警系统的可靠性和更广泛的应用。

(5)火灾自动报警系统误报、漏报问题较多。由于火灾探测器的安装环境极其复杂,加之各种传感器在探测火灾方面存在着某些先天不足,无法准确地感应各种物质在燃烧过程中所特有的声波、光谱、辐射、气味等诸多方面发生的微妙变化,对火灾发生过程中所产生的不同粒径和颜色的烟存在探测“盲区”,误报、漏报现象时有发生。

(6)超早期火灾探测器技术应用还几乎处于空白。国外已开发出适合洁净空间高灵敏度感烟火灾探测报警系统,如激光式高灵敏度烟火灾探测器,吸气式高灵敏度感烟火灾探测报警系统和气体火灾探测报警系统,与普通火灾探测报警系统相比,其探测灵敏度提高了两个数量级,甚至更多,这些系统采用了激光粒子计

数、激光散射等原理监视被保护空间,以单位体积内粒子增加的多少来判断是否发生火灾,系统可在火灾发生前几小时或几天内识别潜在的火灾危险性,实现超早期火灾报警。而该技术我国目前还处于起步阶段,有待进一步研究开发使用[2]。

针对上述问题,火灾自动报警应用技术进一步着眼于当前国际发展的新形势,加快更新改造进程,加强对数字技术和新工艺、新材料的应用,改进系统能力,使火灾自动报警应用技术向着高可靠、低误报和网络化、智能化方向发展。当前,国外火灾自动报警应用技术的发展趋势主要表现为网络化、智能化、多样化、小型化、社区化、蓝牙化、高灵敏化等。这也是火灾自动报警应用技术的研究发展趋势。

四、本课题主要的研究内容

设计一种以STC89C52单片机为核心的火灾检测与报警系统,可以通过气体传感器实时获取可燃气体浓度、温度传感器获得火灾现场温度,并通过LCD1602液晶显示,当浓度或温度超过限定值时则报警并且把报警情况发送到报警器所设定的终端上。以方便人们更好的掌握安全状况,提高生活质量。

五、拟采取的方法、技术或设计(开发)工具

本设计主要以MCS-51系列单片机STC89C52为控制核心,它自带8K的FLASH程序存储器,它的核心处理单元为8位。数据处理主要是对数字温度传感器18B20采集温度数据和对MQ-2烟物传感器进行AD采集,并进行逻辑判断,根据数据的具体情况输出到数码管显示和使蜂鸣器动作[3]。整个单片机应用系统的设计分为硬件电路设计和软件编程设计两大部分;其中硬件电路设计包括温度采集电路,MQ-2烟物传感器电路,单片机控制电路,显示电路,报警与控制电路和GSM模块。软件设计部分包括系统主程序,温度采集子程序,数码管显示子程序,GSM模块子程序和输出驱动子程序,均采用51系列C语言编程实现。

六、本课题进度安排、各阶段预期达到的目标

进度计划:

- : 查找资料、搜集相关素材

- :完成需求分析

- : 完成概要设计

- :完成详细设计

- 完成编码

- : 完成软件测试

- :整理资料、撰写设计报告

- :根据导师要求,完善毕业设计和设计报告

1、频率计嘛就是用来测试信号频率的啊!2、用FPGA来做就最简单不过了!3、我做了一个频率范围在,一点都不夸张的,而且精度还很高,比你想象的要高的多!4、软件设计就只要QUARTUS II,很好做的也很容易!5、就连报告也都有的!

总监 八级 已经说的很清楚了!

频率计数器电路设计毕业论文

请问你最后怎么做的呢,可以给我发一下吗?非常感谢

1. 基于FX2N-48MRPLC的交通灯控制2. 西门子PLC控制的四层电梯毕业设计论文3. PLC电梯控制毕业论文4. 基于plc的五层电梯控制5. 松下PLC控制的五层电梯设计6. 基于PLC控制的立体车库系统设计7. PLC控制的花样喷泉8. 三菱PLC控制的花样喷泉系统9. PLC控制的抢答器设计10. 世纪星组态 PLC控制的交通灯系统11. X62W型卧式万能铣床设计12. 四路抢答器PLC控制13. PLC控制类毕业设计论文14. 铁路与公路交叉口护栏自动控制系统15. 基于PLC的机械手自动操作系统16. 三相异步电动机正反转控制17. 基于机械手分选大小球的自动控制18. 基于PLC控制的作息时间控制系统19. 变频恒压供水控制系统20. PLC在电网备用自动投入中的应用21. PLC在变电站变压器自动化中的应用22. FX2系列PCL五层电梯控制系统23. PLC控制的自动售货机毕业设计论文24. 双恒压供水西门子PLC毕业设计25. 交流变频调速PLC控制电梯系统设计毕业论文26. 基于PLC的三层电梯控制系统设计27. PLC控制自动门的课程设计28. PLC控制锅炉输煤系统29. PLC控制变频调速五层电梯系统设计30. 机械手PLC控制设计31. 基于PLC的组合机床控制系统设计32. PLC在改造z-3040型摇臂钻床中的应用33. 超高压水射流机器人切割系统电气控制设计34. PLC在数控技术中进给系统的开发中的应用35. PLC在船用牵引控制系统开发中的应用36. 智能组合秤控制系统设计37. S7-200PLC在数控车床控制系统中的应用38. 自动送料装车系统PLC控制设计39. 三菱PLC在五层电梯控制中的应用40. PLC在交流双速电梯控制系统中的应用41. PLC电梯控制毕业论文42. 基于PLC的电机故障诊断系统设计43. 欧姆龙PLC控制交通灯系统毕业论文44. PLC在配料生产线上的应用毕业论文45. 三菱PLC控制的四层电梯毕业设计论文46. 全自动洗衣机PLC控制毕业设计论文47. 工业洗衣机的PLC控制毕业论文48. 《双恒压无塔供水的PLC电气控制》49. 基于三菱PLC设计的四层电梯控制系统50. 西门子PLC交通灯毕业设计51. 自动铣床PLC控制系统毕业设计52. PLC变频调速恒压供水系统53. PLC控制的行车自动化控制系统54. 基于PLC的自动售货机的设计55. 基于PLC的气动机械手控制系统56. PLC在电梯自动化控制中的应用57. 组态控制交通灯58. PLC控制的升降横移式自动化立体车库59. PLC在电动单梁天车中的应用60. PLC在液体混合控制系统中的应用61. 基于西门子PLC控制的全自动洗衣机仿真设计62. 基于三菱PLC控制的全自动洗衣机63. 基于plc的污水处理系统64. 恒压供水系统的PLC控制设计65. 基于欧姆龙PLC的变频恒压供水系统设计66. 西门子PLC编写的花样喷泉控制程序67. 欧姆龙PLC编写的全自动洗衣机控制程序68 景观温室控制系统的设计69. 贮丝生产线PLC控制的系统70. 基于PLC的霓虹灯控制系统71. PLC在砂光机控制系统上的应用72. 磨石粉生产线控制系统的设计73. 自动药片装瓶机PLC控制设计74. 装卸料小车多方式运行的PLC控制系统设计75. PLC控制的自动罐装机系统76. 基于CPLD的可控硅中频电源77. 西门子PLC编写的花样喷泉控制程序78. 欧姆龙PLC编写的全自动洗衣机控制程序79. PLC在板式过滤器中的应用80. PLC在粮食存储物流控制系统设计中的应用81. 变频调速式疲劳试验装置控制系统设计82. 基于PLC的贮料罐控制系统83. 基于PLC的智能交通灯监控系统设计1.基于labVIEW虚拟滤波器的设计与实现2.双闭环直流调速系统设计3.单片机脉搏测量仪4.单片机控制的全自动洗衣机毕业设计论文电梯控制的设计与实现6.恒温箱单片机控制7.基于单片机的数字电压表8.单片机控制步进电机毕业设计论文9.函数信号发生器设计论文变电所一次系统设计11.报警门铃设计论文单片机交通灯控制13.单片机温度控制系统通信系统中的接入信道部分进行仿真与分析15.仓库温湿度的监测系统16.基于单片机的电子密码锁17.单片机控制交通灯系统设计18.基于DSP的IIR数字低通滤波器的设计与实现19.智能抢答器设计20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器22.单片机数字钟设计23.自动起闭光控窗帘毕业设计论文24.三容液位远程测控系统毕业论文25.基于Matlab的PWM波形仿真与分析26.集成功率放大电路的设计27.波形发生器、频率计和数字电压表设计28.水位遥测自控系统 毕业论文29.宽带视频放大电路的设计 毕业设计30.简易数字存储示波器设计毕业论文31.球赛计时计分器 毕业设计论文数字滤波器的设计毕业论文机与单片机串行通信毕业论文34.基于CPLD的低频信号发生器设计毕业论文变电站电气主接线设计序列在扩频通信中的应用37.正弦信号发生器38.红外报警器设计与实现39.开关稳压电源设计40.基于MCS51单片机温度控制毕业设计论文41.步进电动机竹竿舞健身娱乐器材42.单片机控制步进电机 毕业设计论文43.单片机汽车倒车测距仪44.基于单片机的自行车测速系统设计45.水电站电气一次及发电机保护46.基于单片机的数字显示温度系统毕业设计论文47.语音电子门锁设计与实现48.工厂总降压变电所设计-毕业论文49.单片机无线抢答器设计50.基于单片机控制直流电机调速系统毕业设计论文51.单片机串行通信发射部分毕业设计论文52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文53.超声波测距仪毕业设计论文54.单片机控制的数控电流源毕业设计论文55.声控报警器毕业设计论文56.基于单片机的锁相频率合成器毕业设计论文57.基于Multism/protel的数字抢答器58.单片机智能火灾报警器毕业设计论59.无线多路遥控发射接收系统设计毕业论文60.单片机对玩具小车的智能控制毕业设计论文61.数字频率计毕业设计论文62.基于单片机控制的电机交流调速毕业设计论文63.楼宇自动化--毕业设计论文64.车辆牌照图像识别算法的实现--毕业设计65.超声波测距仪--毕业设计66.工厂变电所一次侧电气设计67.电子测频仪--毕业设计68.点阵电子显示屏--毕业设计69.电子电路的电子仿真实验研究70.基于51单片机的多路温度采集控制系统71.基于单片机的数字钟设计72.小功率不间断电源(UPS)中变换器的原理与设计73.自动存包柜的设计74.空调器微电脑控制系统75.全自动洗衣机控制器76.电力线载波调制解调器毕业设计论文77.图书馆照明控制系统设计78.基于AC3的虚拟环绕声实现79.电视伴音红外转发器的设计80.多传感器障碍物检测系统的软件设计81.基于单片机的电器遥控器设计82.基于单片机的数码录音与播放系统83.单片机控制的霓虹灯控制器84.电阻炉温度控制系统85.智能温度巡检仪的研制86.保险箱遥控密码锁 毕业设计变电所的电气部分及继电保护88.年产26000吨乙醇精馏装置设计89.卷扬机自动控制限位控制系统90.铁矿综合自动化调度系统91.磁敏传感器水位控制系统92.继电器控制两段传输带机电系统93.广告灯自动控制系统94.基于CFA的二阶滤波器设计95.霍尔传感器水位控制系统96.全自动车载饮水机97.浮球液位传感器水位控制系统98.干簧继电器水位控制系统99.电接点压力表水位控制系统100.低成本智能住宅监控系统的设计101.大型发电厂的继电保护配置102.直流操作电源监控系统的研究103.悬挂运动控制系统104.气体泄漏超声检测系统的设计105.电压无功补偿综合控制装置型无功补偿装置控制器的设计电机调速频段窄带调频无线接收机109.电子体温计110.基于单片机的病床呼叫控制系统111.红外测温仪112.基于单片微型计算机的测距仪113.智能数字频率计114.基于单片微型计算机的多路室内火灾报警器115.信号发生器116.基于单片微型计算机的语音播出的作息时间控制器117.交通信号灯控制电路的设计118.基于单片机步进电机控制系统设计119.多路数据采集系统的设计120.电子万年历121.遥控式数控电源设计降压变电所一次系统设计变电站一次系统设计124.智能数字频率计125.信号发生器126.基于虚拟仪器的电网主要电气参数测试设计127.基于FPGA的电网基本电量数字测量系统的设计128.风力发电电能变换装置的研究与设计129.电流继电器设计130.大功率电器智能识别与用电安全控制器的设计131.交流电机型式试验及计算机软件的研究132.单片机交通灯控制系统的设计133.智能立体仓库系统的设计134.智能火灾报警监测系统135.基于单片机的多点温度检测系统136.单片机定时闹钟设计137.湿度传感器单片机检测电路制作138.智能小车自动寻址设计--小车悬挂运动控制系统139.探讨未来通信技术的发展趋势140.音频多重混响设计141.单片机呼叫系统的设计142.基于FPGA和锁相环4046实现波形发生器143.基于FPGA的数字通信系统144.基于单片机的带智能自动化的红外遥控小车145.基于单片机AT89C51的语音温度计的设计146.智能楼宇设计147.移动电话接收机功能电路148.单片机演奏音乐歌曲装置的设计149.单片机电铃系统设计150.智能电子密码锁设计151.八路智能抢答器设计152.组态控制抢答器系统设计153.组态控制皮带运输机系统设计154..基于单片机控制音乐门铃155.基于单片机控制文字的显示156.基于单片机控制发生的数字音乐盒157.基于单片机控制动态扫描文字显示系统的设计158.基于LMS自适应滤波器的MATLAB实现功率放大器毕业论文160.无线射频识别系统发射接收硬件电路的设计161.基于单片机PIC16F877的环境监测系统的设计162.基于ADE7758的电能监测系统的设计163.智能电话报警器164.数字频率计 课程设计165.多功能数字钟电路设计 课程设计166.基于VHDL数字频率计的设计与仿真167.基于单片机控制的电子秤168.基于单片机的智能电子负载系统设计169.电压比较器的模拟与仿真170.脉冲变压器设计仿真技术及应用172.基于单片机的水温控制系统173.基于FPGA和单片机的多功能等精度频率计174.发电机-变压器组中微型机保护系统175.基于单片机的鸡雏恒温孵化器的设计176.数字温度计的设计177.生产流水线产品产量统计显示系统178.水位报警显时控制系统的设计179.红外遥控电子密码锁的设计180.基于MCU温控智能风扇控制系统的设计181.数字电容测量仪的设计182.基于单片机的遥控器的设计电话卡代拨器的设计184.数字式心电信号发生器硬件设计及波形输出实现185.电压稳定毕业设计论文186.基于DSP的短波通信系统设计(IIR设计)187.一氧化碳报警器188.网络视频监控系统的设计189.全氢罩式退火炉温度控制系统190.通用串行总线数据采集卡的设计191.单片机控制单闭环直流电动机的调速控制系统192.单片机电加热炉温度控制系统193.单片机大型建筑火灾监控系统接口设备驱动程序的框架设计195.基于Matlab的多频率FMICW的信号分离及时延信息提取196.正弦信号发生器197.小功率UPS系统设计198.全数字控制SPWM单相变频器199.点阵式汉字电子显示屏的设计与制作200.基于AT89C51的路灯控制系统设计200.基于AT89C51的路灯控制系统设计201.基于AT89C51的宽范围高精度的电机转速测量系统202.开关电源设计203.基于PDIUSBD12和K9F2808简易USB闪存设计204.微型机控制一体化监控系统205.直流电机试验自动采集与控制系统的设计206.新型自动装弹机控制系统的研究与开发207.交流异步电机试验自动采集与控制系统的设计208.转速闭环控制的直流调速系统的仿真与设计209.基于单片机的数字直流调速系统设计210.多功能频率计的设计信息移频信号的频谱分析和识别212.集散管理系统—终端设计213.基于MATLAB的数字滤波器优化设计214.基于AT89C51SND1C的MP3播放器215.基于光纤的汽车CAN总线研究216.汽车倒车雷达217.基于DSP的电机控制218.超媒体技术219.数字电子钟的设计与制作220.温度报警器的电路设计与制作221.数字电子钟的电路设计222.鸡舍电子智能补光器的设计223.高精度超声波传感器信号调理电路的设计224.电子密码锁的电路设计与制作225.单片机控制电梯系统的设计226.常用电器维修方法综述227.控制式智能计热表的设计228.电子指南针设计229.汽车防撞主控系统设计230.单片机的智能电源管理系统231.电力电子技术在绿色照明电路中的应用232.电气火灾自动保护型断路器的设计233.基于单片机的多功能智能小车设计234.对漏电保护器安全性能的剖析235.解析民用建筑的应急照明236.电力拖动控制系统设计237.低频功率放大器设计238.银行自动报警系统

频率测量的方法常用的有测频法和测周法两种。

测频法的基本思想是让计数器在闸门信号的控制下计数1秒时间,计数结果是1秒内被测信号的周期数,即被测信号的频率。若被测信号不是矩形脉冲,则应先变换成同频率的矩形脉冲。测频法的原理框图如图所示。

图中,秒脉冲作为闸门信号,当其为高电平时,计数器计数;低电平时,计数器停止计数。显然,在同样的闸门信号作用下,被测信号的频率越高,测量误差越小。当被测频率一定时,闸门信号高电平的时间越长,测量误差越小。但是闸门信号周期越长,测量的响应时间也越长。

2、当被测信号频率较低时,为保证测量精度,常采用测周法。即先测出被测信号的周期,再换算成频率。测周法的实质是把被测信号作为闸门信号。

在它的高电平的时间内,用一个标准频率的信号源作为计数器的时钟脉冲。若计数结果为N,标准信号频率为f1,则被测信号的周期为:T = T1·N。被测信号的频率为:f = 1/T1·N = f1/N。

利用测周法所产生的最大绝对误差,显然也等于±1个标准信号周期。如果被测信号周期的真值为T真= T1·N,则T测= T1·(N±1)σmax= (f测-f真)/ f真= T真/T测 – 1=±1/(N±1)由上式可知,对于一定的被测信号,标准信号的频率越高,则N的值越大,因而相对误差越小。

3、低频段的测量,鉴于上述困难,对于低频信号,为了达到规定的精度,要采取一些比较特殊的方法。例如,可考虑将被测信号倍频后再用测频法测量。

或将闸门信号展宽。由于倍频电路比较复杂,所以一般采用后一种方法,实际上闸门信号展宽与被测信号倍频在效果上是相同的。

闸门信号展宽比较容易做到,例如采用分频电路就可以实现。若闸门信号高电平时间从1秒展宽到10秒,则相对误差可以按比例下降,但响应时间也增大相同的比例。

4、显示方式:共用右边四个数码管,左三个显示数据,最右端一个显示单位,为0时单位为Hz,为1时单位为Khz

5、代码:

//#include<>

#include<>

#include<>

#define uint unsigned int

uint a,b,c,d;

unsigned long  x;

unsigned long  count;

unsigned char flag=0;

void Timer0_Init()interrupt 1

{

TH0=(65535-10000)/256;

TL0=(65535-10000)%256;

if(++count==40)

{

count=0;

TR1=0;

x=TH1*256+TL1;

TH1=0;

TL1=0;

TR1=1;

flag=1;

}

}

void show(void)

{if(x>=10&&x<100)

{

a=0;

b=x*10%100;

c=x/10;

d=x%10;

ZLG7289_Download(1,7,0,a);

ZLG7289_Download(1,6,0,b);

ZLG7289_Download(1,5,1,d);

ZLG7289_Download(1,4,0,c);

}

else if(x>=100&&x<1000)

{

a=0;

b=x/100;

c=x%100/10;

d=x%10;

ZLG7289_Download(1,7,0,a);

ZLG7289_Download(1,6,1,d);

ZLG7289_Download(1,5,0,c);

ZLG7289_Download(1,4,0,b);

}

else if(x>=1000&&x<10000)

{

a=x/1000;

b=x%1000/100;

c=x%100/10;

d=1;

ZLG7289_Download(1,7,0,d);

ZLG7289_Download(1,6,0,c);

ZLG7289_Download(1,5,0,b);

ZLG7289_Download(1,4,1,a);

}

}

main(void)

{

system_init();

systemclk_init();

port_init();

ZLG7289_Init(40);

ZLG7289_Reset();

timer_init();

while(1)

{

if(flag==1)

{

show();

flag = 0;

}

}}

#include <>

#include <>

void system_init()

{

PCA0MD&=~0x40;

}

void systemclk_init()

{

OSCICL=OSCICL+42; //设置内部振荡器为24MHZ

OSCICN|=0x01;   //内部振荡器4分频

}

void port_init()

{

P0SKIP=0x00;       //跳过做做INT1(模拟输出不跳)

P1SKIP=0x00;       //跳过,,

XBR0=0x00;         //交叉开关使能UART0

XBR1=0x60;         //打开交叉开关

//IT01CF=0x10;     //INT0配置在,INT1配置在

P0MDIN=0xFF;     //数字输入

P1MDIN=0xFF;

P0MDOUT=0xFF; //推挽

P1MDOUT=0xFF;

}

void timer_init()

{

TMOD=0X51;

TH0=(65535-2500)/256;

TL0=(65535-2500)%256;

EA=1;

ET0=1;

TR1=1;

TR0=1;

}

#ifndef __port_H_

#define __port_H_

void system_init(void);

void systemclk_init(void);

void port_init(void);

void timer_init(void);

#endif

1、频率计嘛就是用来测试信号频率的啊!2、用FPGA来做就最简单不过了!3、我做了一个频率范围在,一点都不夸张的,而且精度还很高,比你想象的要高的多!4、软件设计就只要QUARTUS II,很好做的也很容易!5、就连报告也都有的!

  • 索引序列
  • fpga数字频率计毕业论文
  • 数字频率计毕业论文设计
  • 数字频率计的设计毕业论文
  • 数字频率计论文的文献综述
  • 频率计数器电路设计毕业论文
  • 返回顶部