首页 > 学术发表知识库 > 汽车车灯论文参考文献目录

汽车车灯论文参考文献目录

发布时间:

汽车车灯论文参考文献目录

1、论文题目:要求准确、简练、醒目、新颖。2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录)3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。5、论文正文:(1)引言:引言又称前言、序言和导言,用在论文的开头。引言一般要概括地写出作者意图,说明选题的目的和意义,并指出论文写作的范围。引言要短小精悍、紧扣主题。〈2)论文正文:正文是论文的主体,正文应包括论点、论据、论证过程和结论。主体部分包括以下内容:a.提出-论点;b.分析问题-论据和论证;c.解决问题-论证与步骤;d.结论。6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献著录规则》进行。中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息所列参考文献的要求是:(1)所列参考文献应是正式出版物,以便读者考证。(2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。

汽车毕业论文参考文献

紧张而又充实的大学生活即将结束,毕业生都要通过最后的毕业论文,毕业论文是一种有计划的检验大学学习成果的形式,那么应当如何写毕业论文呢?下面是我整理的汽车毕业论文参考文献,仅供参考,大家一起来看看吧。

[1] 汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

[2] 中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[3] 汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

[4] 汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

[5] 合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

[6] 哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[7] 汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

[8] 我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[9] 汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[10] 湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

[11] 丁冰,汽车安全气囊的控制,《现代汽车技术》, VOL.17, No.l(1995), 109--120;

[12] 朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1] 曹德芳.汽车维修[M].北京:人民交通出版社,1999.70-78.

[2] 范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3] 徐华东.桑塔纳轿车维修技术[M].济南:山东科学技术出版社,2000.34-41.

[4] 戴冠军.广州本田雅阁发动机的检查与调整[J].汽车技术,2002,(6):36-38.

[5] 石磊.车载信息技术及其发展[J].汽车维修,2002,(9):44-46.

[6] 张沈生.汽车维修技术的发展[J].汽车维修,2002,(6):6-7.

[7] 汤子兴.夏利轿车电喷发动机故障自诊系统[J].汽车运用,2002,(1):34-35.

[8] 崔吉男.入世与中国轿车业新一轮规模扩张[J].汽车维修,2003,(1):6-8.

[9] 刘仲国.现代汽车故障与解码技术[J].汽车维修技师,2000,(6):62-64.

[10] 邵松明.汽车维修企业职工培训及改革探索[J].汽车维护与修理,2003,(1):1-2.

[1]田星.ZF汽车零配件公司营销战略研究[D].天津工业大学,2015.

[2]张福威.东风日产汽车4S店经营管理项目研究[D].天津工业大学,2016.

[3]王晨辰.W汽车公司营销渠道策略研究[D].北京交通大学,2016.

[4]胡竞博.大数据时代长安汽车精准营销策略研究[D].中央民族大学,2016.

[5]舒雪琴.B品牌汽车JN店关系营销策略研究[D].西南交通大学,2016.

[6]程朕.上海地区二手车营销模式研究[D].上海工程技术大学,2016.

[7]杜艳华.D汽车集团公司营销策略研究[D].黑龙江大学,2016.

[8]赵胜杰.知豆牌电动汽车营销策略研究[D].山东大学,2016.

[9]吕晓萌.LT汽车集团二手车市场营销策略研究[D].广西大学,2016.

[10]程新才.吉利汽车品牌战略研究[D].广西大学,2016.

[11]廖志军.广州交通集团汽车修理厂服务营销策略研究[D].广西师范大学,2016.

[12]刘青云.汽车营销人员胜任力、激励与绩效的相关性研究[D].广西师范大学,2016.

[13]王镇.国内二手汽车互联网营销策略研究[D].华中师范大学,2016.

[14]杨佳幸.中国大陆汽车行业的微电影营销研究[D].华中师范大学,2016.

[15]农嘉骐.汽车品牌年轻化营销传播策略研究[D].暨南大学,2016.

[16]余静.新能源汽车的市场现状及其营销策略研究[D].暨南大学,2016.

[17]刘静.互联网+时代汽车品牌的价值营销策略研究[D].暨南大学,2016.

[18]姚振宇.特斯拉汽车营销策略研究[D].广东财经大学,2016.

[19]邓艺.北京吉普福建市场营销策略研究[D].华侨大学,2016.

[20]胡嘉琦.陕西比亚迪汽车公司营销策略研究[D].西北大学,2015.

[21]孙海鑫.中国品牌汽车在非洲地区跨文化营销路径研究[D].四川外国语大学,2016.

[22]成志兵.比亚迪汽车营销渠道管理研究[D].郑州大学,2015.

[23]廖玉昌.广汽三菱汽车有限公司营销策略研究[D].湖南大学,2015.

[24]黄琦.广汽三菱SUV汽车营销策略研究[D].湖南大学,2016.

[25]郑伟雄.基于IFE-EFE矩阵的A公司汽车配件营销策略研究[D].哈尔滨工业大学,2015.

[26]黄旭明.特斯拉汽车在中国的营销策略研究[D].长春理工大学,2016.

[27]林重延.SN汽车有限公司营销策略研究[D].广东财经大学,2015.

[28]赖文亮.清远市4S汽车店营销研究[D].华南农业大学,2016.

[29]邢文林.长安汽车河南微车市场营销策略优化[D].郑州大学,2016.

[30]王晨.中国与美国汽车零部件企业营销管理的比较研究[D].吉林大学,2016.

[31]常丹.O2O模式下汽车保养HKB项目营销问题及对策研究[D].吉林大学,2016.

[32]王艺凝.一汽汽车金融有限公司消费信贷业务市场营销策略研究[D].吉林大学,2016.

[33]常燕妮.沃尔沃汽车中国市场品牌营销策略分析[D].广东外语外贸大学,2016.

[34]张倩文.基于SCP范式的汽车4S营销模式研究[D].西华大学,2016.

[35]朱骥飞.互联网+背景下汽车后市场汽配行业营销策略研究[D].华东理工大学,2017.

[36]林晓冬.关于汽车营销模式转变及创新的探究[J].科技展望,2017,01:232.

[37]葛宇静,邓艳宁,林奕诗,李俊伟.我国汽车营销渠道现状分析及发展前景研究[J].宁波工程学院学报,2016,04:73-76.

[38]马健.大众旗下宝莱汽车的市场定位与营销策略[J].产业与科技论坛,2017,04:287-288.

[39]韩道静.4S店面向农村市场的汽车营销策略分析[J].商业经济,2017,03:110-111.

[40]乔肖妹.微信技术下的O2O汽车服务营销策略探讨[J].时代农机,2017,01:137-138.

[41]甄文媛.传播剧变时代汽车营销如何变招[J].汽车纵横,2017,03:64-65.

[42]陆昱男.我国汽车市场自主品牌与合资品牌营销策略分析[J].商场现代化,2017,01:61-62.

[43]罗双.汽车营销与服务专业技能抽考标准的研究与实践[J].商场现代化,2017,03:239-240.

[44]刘政陈.关于新能源汽车市场营销策略的探讨[J].现代国企研究,2017,02:153.

[45]黄茜.高职技能大赛汽车营销策划赛项训练之初探[J].湖北农机化,2017,01:58-59.

[46]王毓,石剑飞.基于技能大赛推进高职课程教学改革的.研究--以“全国汽车营销大赛”为例[J].工业和信息化教育,2017,02:43-46.

[47]林家驹.互联网+背景下车辆销售创新模式研究[J].现代商业,2017,05:17-18.

[48]李梦寅.市场调查在汽车营销中的地位与作用分析[J].现代营销(下旬刊),2017,03:76.

[49]王彦梅,李佳民.顾客满意战略在汽车营销领域中的运用研究[J].中国商论,2017,07:17-19.

[50]李飞.利用汽车展作为汽车营销课程实训教学的改革与实践[J].科教导刊(上旬刊),2017,03:98-99.

[51]付昌星.情景模拟教学法在汽车营销教学中的应用[J].时代汽车,2017,04:54-55.

[52]黄茜.基于汽车营销技能大赛背景下的汽车营销策划课程改革思路及教学方式探讨[J].湖北农机化,2017,02:49-50.

[53]黄汉龙.“互联网+”时代技工院校汽车营销专业学生职业能力培养的应对策略[J].职业,2017,11:78-80.

[54]李晓琳.工学结合人才培养模式下汽车营销专业课程体系建设[J].中国培训,2017,06:234-235.

[55]张秋菊.低碳经济下新能源汽车营销策略研究--以保时捷汽车为例[J].中国培训,2017,06:285.

[56]王彦梅,李佳民.基于“互联网+”背景下汽车营销模式研究[J].中国商论,2017,13:8-9.

[57]曹园园.中外汽车营销模式比较及其对策分析[J].汽车与驾驶维修(维修版),2017,04:131.

[58]陈益奎.体验式营销在汽车营销中的应用探究[J].时代汽车,2017,08:50+52.

[59]齐睿文.中国品牌汽车营销策略探讨[J].商场现代化,2017,07:68-69.

[60]白彩盛,杨海霞.汽车营销模式的改革探究[J].中国市场,2017,07:128-129.

[61]薛东红.区域汽车维修水平对汽车营销的作用[J].时代汽车,2017,10:41-42.

[62]陈积志,刘青.中国汽车营销模式整合创新研究--以上海大众汽车为例[J].商场现代化,2017,08:73-74.

[63]谢霖.基于综合职业能力导向的高职汽车营销课程教学改革探析[J].长沙航空职业技术学院学报,2017,01:52-55.

[64]豆琨.浅谈体验营销在汽车销售中的应用[J].当代经济,2017,08:68-69.

[65]熊宇.汽车电子商务营销[J].电子商务,2017,06:52-53.

[66]王硕,徐保云.汽车营销公关改变美国人的汽车生活[J].国际公关,2017,02:82-84.

[67]张松泓,郭坤.我国新能源汽车营销模式探究[J].河北农机,2017,05:61.

[68]李薇.情景模拟教学法在汽车营销课程中的应用[J].职业,2017,15:70-71.

[69]彭卉,兰伟华.中职汽车营销专业语文口语交际教学的实践与研究[J].教育观察(下半月),2017,04:84-85.

[70]吴小平.刍议高职汽车营销与服务专业建设途径研究[J].教育现代化,2017,14:105-107.

[71]彭菊生.基于行动导向的高职汽车营销课程教学改革[J].湖州职业技术学院学报,2017,01:28-31.

[72]康蒙.新形势下汽车营销课程的教学改革探讨[J].时代汽车,2017,08:47+49.

[73]刘娜翠,杨月锋.运用行为导向教学法的汽车营销学教学研究[J].武夷学院学报,2017,03:91-95.

[74]李为豪.汽车广告文化及其在汽车营销中的应用研究[J].经营管理者,2017,05:257.

[75]张耀丹.我国汽车营销模式浅析[J].汽车实用技术,2017,09:82-83.

[76]纪文煜.“互联网+”互动式汽车营销模式的优势分析[J].科技经济导刊,2017,05:278.

[77]汪文忠.互联网+我国汽车产业营销模式创新[J].汽车工程师,2017,05:13-14.

[78]李玉婷.浅谈如何构建基于岗位需求的汽车营销专业实训课程体系[J].时代汽车,2017,12:55-56.

[79]赵美红,刘佳庚.新能源汽车营销渠道分析--以辽宁省调研数据为例[J].现代商业,2017,15:28-29.

[80]谢萍萍.中国汽车营销渠道的现状与思考[J].中国市场,2017,04:86-87+119.

汽车营销毕业论文参考文献三:

[81]严义章,熊其兴,杨顺芳.基于中高职衔接的汽车营销与服务专业课程体系构建研究[J].武汉职业技术学院学报,2017,02:32-35+50.

[82]王斌瑜.高职院校《汽车营销》课教学中情境教学法的应用研究[J].黑龙江科技信息,2017,14:109.

[83]汪文忠.“互联网+”我国汽车产业营销模式创新探究[J].汽车维修与保养,2017,05:98-99.

[84]本报记者蒋毅棪.东风打造落地自驾新模式开启共享汽车营销新时代[N].通信信息报,2017-04-12C04.

[85]刘宇,肖俊涛.“互联网+”互动式汽车营销模式研究[J].湖北汽车工业学院学报,2015,04:76-80.

[86]边巍,徐建高,马蕾,张海云.依托双主体的现代学徒制探索与实践--以汽车技术服务与营销专业为例[J].职业技术教育,2015,32:16-19.

[87]李雪.体验式营销在汽车营销中的应用[J].现代商业,2016,02:33-34.

[88]杨学成,徐秀秀,陶晓波.基于体验营销的价值共创机理研究--以汽车行业为例[J].管理评论,2016,05:232-240.

[89]张莉.安徽省新能源汽车营销策略分析[J].安徽科技学院学报,2016,02:80-83.

[90]李钱贵,邓艳宁.我国汽车营销渠道存在的问题及建议[J].宁波工程学院学报,2016,02:72-77.

[91]杨子扬.中国汽车网络营销的现状及问题研究[J].中小企业管理与科技(上旬刊),2016,09:98-101.

[92]郑蓓,郭文斌.我国汽车营销模式探究[J].青海交通科技,2016,04:122-126.

[93]金明.我国汽车营销模式存在的问题及对策研究[J].汽车实用技术,2016,09:10-12.

[94]周礼鹏.汽车经销商营销风险管理研究[D].浙江大学,2016.

[95]李卉依.移动互联网时代汽车品牌的营销策略研究[D].湖南师范大学,2015.

[96]余淞.豪鹏公司汽车动力电池营销策略研究[D].兰州大学,2016.

[97]齐宏明.一汽马自达汽车销售有限公司大客户营销策略研究[D].吉林大学,2016.

[98]林东东.长春东环一汽丰田4S店营销策略研究[D].吉林大学,2016.

[99]解晓宣.汽车4S店微信公众平台用户持续使用意愿影响因素研究[D].吉林大学,2016.

[100]刘文龙.上海小糸汽车车灯公司产品营销策略研究[D].吉林大学,2016.

[101]李明明.经济新常态下的汽车营销[D].吉林大学,2016.

[102]聂强.一汽解放汽车销售有限公司开拓西南市场营销策略研究[D].吉林大学,2016.

[103]高阳.一汽-大众汽车有限公司奥迪A8L市场营销策略研究[D].吉林大学,2016.

[104]李锐.江淮汽车公司乘用车营销策略改进研究[D].安徽大学,2016.

[105]唐守荣.上海大众汽车的品牌忠诚度研究[D].上海工程技术大学,2016.

[106]林治辰.北汽新能源公司电动汽车营销策略研究[D].北京理工大学,2015.

[107]李辛铭.长春信而兴汽车零部件有限公司营销策略研究[D].吉林大学,2016.

[108]赵臻.一汽大众汽车公司奥迪A3轿车兰州市场营销策略研究[D].兰州大学,2015.

[109]王世民.移动互联网时代的广汽集团营销转型研究[D].兰州大学,2015.

[110]钟换嫦.情景模拟教学法在中职汽车营销课程中的实践研究[D].广东技术师范学院,2015.

[111]韦怡龙.多核协同营销链评价优化技术研究与实现[D].西南交通大学,2015.

[112]战明.汽车网络营销策略研究[D].首都经济贸易大学,2015.

[113]吴迪.H公司新能源汽车营销策略[D].首都经济贸易大学,2015.

[114]刘兴.柳州五菱汽车零部件营销策略研究[D].广西大学,2015.

[115]邢思思.中国本土汽车企业国际市场营销研究[D].对外经济贸易大学,2015.

[116]慈鑫.FT专用车市场营销策略研究[D].长安大学,2015.

[117]杨琳燕.某企业新能源汽车营销策略研究[D].华中师范大学,2015.

[118]李铖.SVW汽车福建市场整合营销传播研究[D].南昌大学,2015.

[119]董志华.法雷奥汽车(深圳)有限公司市场营销策略研究[D].电子科技大学,2015.

[120]高一航.汽车品牌传播策略研究[D].陕西师范大学,2015.

[121]杜锐.V汽车4S店营销策略研究[D].北京化工大学,2015.

[122]牟晓杰.基于电子商务的福田汽车营销策略研究[D].北京化工大学,2015.

[123]吴景璐.迈梭电子上海有限公司汽车零部件营销策略研究[D].兰州大学,2015.

[124]于泊玲.低碳绿色背景下保时捷新能源汽车在华营销策略研究[D].北京工业大学,2015.

[125]王军皓.LZHY上海大众4S店营销策略研究[D].山东理工大学,2015.

[126]刘苏.大众品牌进口汽车在中国的市场营销策略研究[D].北京工业大学,2015.

[127]张中平.中行K分行信用卡汽车分期付款业务营销策略研究[D].华南理工大学,2015.

[128]李妮娜.福田汽车南美区域国际营销战略研究[D].湖南师范大学,2015.

[129]修杨.奥迪汽车中国市场营销策略[D].哈尔滨理工大学,2015.

[130]邢斌.华晨汽车自主品牌营销策略研究[D].沈阳大学,2016.

论文格式说明

(1)题目(仿宋_gb2312,三号字)力求简明、醒目,反映出文章的主题。中文文题一般以20个汉字以内为宜,不用非公知公认的缩写或符号,尽量避免用英文缩写。

(2)作者(仿宋_gb2312,小四)作者姓名居题目下方。

(3)指导老师(仿宋_gb2312,小四)

(4)学校专业、学号(仿宋_gb2312,五号)

(5)摘要:200—300字左右(摘要两字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)摘要的内容应客观真实,采用第三人称撰写,不用“本文”、“作者”等主语,应反映文章的主要观点,重点表述研究内容及结论,交待相关的研究条件、方法等,必须重点突出、文字简练。

摘要中要突出描述作者所做的工作,不要或尽可能少地出现“介绍”、“总结”之类的词,用“本文研究了……”“本文提出了……”

(6)关键字:3—5个(关键字三字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)要符合学科分类及专业术语的通用性,并注意与国际惯例一致。

(7)正文(正文字体全部为仿宋_gb2312,小四。但大标题或小标题均加粗)

正文中的序号及标题层次 :文中的各种序号,全部用阿拉伯数字按顺序左起顶格书写。标题层次不宜过多,有标题才有序号,标题层次按第一层1,第二层1.1,第三层1.1.1,第四层1.1.1.1的顺序逐级标明,不同层次的数字之间加下圆点相分隔,最后一位数字后面不加标点,写法如下:

1 △△△△(章的标题,顶格,占一行)

1.1 △△△△(条的标题,顶格,占一行)

1.1.1 △△△△(顶格,接正文)

1.1.2 △△△△(顶格,接正文)

(正文内容要求:1、现状 2、存在问题 3、对策建议)

(8)参考文献(参考文献四字字体为仿宋_gb2312,五号,加粗。内容字体为仿宋_gb2312,五号)注意根据自己的大纲来进行资料的搜集和取舍,即根据自己的想法来控制和组织资料,而不是被资料控制。注意所搜集材料的正确性及用词的规范性。

按照下列顺序排列文章

论文总标题:字体仿宋,字号三号,20字以内,汉字

作者:总标题正下方,字体仿宋,字号小四

指导老师:作者正下方,字体仿宋,字号小四

学校、专业、学号:居中,字体仿宋,字号五号

摘要(“摘要”这两个字仿宋,五号,加粗,顶格):200-300字,仿宋,五号字

关键字(“关键字”这三个字仿宋,五号,加粗,顶格):3-5个词,仿宋,五号字

正文:仿宋,小四(如果包含小标题,小标题加粗)

毕业论文格式

一、 论文的格式要求

1.论文用A4纸打印;

2.论文标题居中,小二号黑体(加粗) ,一般中文标题在二十字以内;

4.副标题四号,宋体,不加粗,居中

5.论文内容摘要、关键词、参考文献、正文均统一用四号,宋体(不加粗);大段落标题加粗;

6.页码统一打在右下角,格式为“第×页 共×页” ;

7.全文行间距为1倍行距

8.序号编排如下:

一、(前空二格)――――――――――――此标题栏请加粗

(一) (前空二格)

1.(前空二格)

(1) (前空二格)

二、 内容摘要、关键词

内容摘要是对论文内容准确概括而不加注释或者评论的简短陈述,应尽量反映论文的主要信息。内容摘要篇幅以150字左右为宜。关键词是反映论文主题内容的名词,一般选用3-4个,每个关键词之间用分号隔开。关键词排在摘要下方。“内容摘要”和“关键词”本身要求用[关键词][内容摘要](综括号、四号、宋体、加粗)。

三、正文部分

正文是论文的核心,要实事求是,准确无误,层次分明,合乎逻辑,简练可读。字数不少于3000字。

文字要求规范;所有文字字面清晰,不得涂改。

数字用法 :公历世纪、年代、年、月、日、时刻和各种计数与计量,均用阿拉伯数字。年份不能简写,如2015年不能写成15年。

四、参考文献

参考文献其他部分统一使用四号宋体不加粗。“参考文献”本身要求用参考文献:(四号、宋体,加粗)。

五、致谢

致谢

两字本身用四号,宋体,加粗,居中。内容为四号宋体,不加粗。

汽车车灯控制系统论文参考文献

汽车大灯随动转向的工作原理:系统在工作开始时,接受来自悬挂装置的传感器信号以及 ABS系统的车速信号,可以判断汽车是静止不动还是处于恒速状态,汽车一旦启动,系统就开始修正大灯的角度。

在汽车所有的行驶条件下,保证大灯有合理的转动方向。汽车在刚启动时,动态系统和前面的静态系统控制功能基本一致;但一旦汽车进入波动较大的工况时,自适应转向大灯系统的信号处理速度更快,几分之一秒就可以调整好灯光的角度,视野更为清晰。

扩展资料

自适应前大灯工作模式的条件:

1、外界因素主要包括外界光强度,雨水,雾天,行驶的环境(城市或者郊区)、路况等。识别外界因素,须用到光强度识别传感器和雨水传感器,当汽车进入隧道,或者黄昏行驶时,自适应转向大灯就会打开,补充照明的灯光;当外界光强度升高到系统限制的强度时,前照灯自动关闭。

当汽车在城市行驶的过程中,必须要考虑到车灯会给车的司机造成干扰,通过对一些晚上出现的交通事故的研究表明,主要是由于炫目造成的,特别是路面水湿的情况下,更为严重。

2、内部因素主要包括汽车自身的行驶状态,例如车速、制动、加速、转弯、悬挂高度等有关的信号。车辆在行驶过程中,加速或者满载时,汽车会向后倾,而制动时向前倾,这样一来,势必会造成汽车前照灯的灯光高度不同;路面不平也可以造成以上现象。

当汽车转弯时,灯光会随着左转或右转而在两边留下一个盲区,影响交通安全,而通过V318 左大灯转弯灯光动态调节电机和 V319 右大灯转弯灯光动态调节电机来完成。

汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。下面是我整理的汽车前照灯技术论文,希望你能从中得到感悟!

汽车前照灯检测技术探讨

摘要:汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。因此,为保障机动车运行安全,应对前照灯的有关性能进行严格检验。本文就汽车前照灯远近光检测技术进行了分析。

关键词:汽车;前照灯;检测

中图分类号:U46 文献标识码:A

前照灯是汽车在夜间或在能见度较低的条件下,为驾驶员提供行车道路照明的重要设备,也是驾驶员发出警示、进行联络的灯光信号装置。所以,前照灯必须有足够的发光强度和正确的照射方向。目前各大汽车检测站普遍采用先进的CCD成像技术和DSP图像处理相结合的方法进行汽车前照灯远近光的检测,从而达到汽车前照灯的自动跟踪光轴、发光强度、远光中心坐标、近光拐点坐标以及光轴偏角等特征参数的检测。

1 汽车前照灯远近光发光特点及作用

1.1 前照灯远光灯的发光特点

为了防止前照灯对司机和路人造成眩目,前照灯的灯具需要经过特别的设计,使灯具的发光性能达到一定的标准。所谓发光特性是指灯具发射可见光的光度(照射角度和发光强度)分布,其照射角度随方向而改变,常用发光强度分布曲线来表示。正常情况下,汽车前照灯远光发光特性,其光度分布如椭圆形状在上下方向和左右方向基本对称,越靠近中心点,照射度越大。

1.2 前照灯近光灯的发光特点

典型的前照灯近光的发光特性为非规则几何形状,具有明显的明暗截止线,在明暗截止线的左上方有一个比较暗的暗区,在明暗截止线的右下方有一个比较亮的亮区。其发光强度最强的区域在明暗截止线的右下方,光强最大的区域中心点,照度最大,并以这个中心点为中心,形成一定的等照度曲线。前照灯近光图可表示为图1,近光产生明显的明暗截止线,其水平部分在V-V′的左侧,右侧为与水平线向上15°的斜线或向上成45°的斜线。明暗线转折点处称为拐点。根据前照灯远近光的光形分布的特点,传统的前照灯远光检测技术以仪器检测为主,大多利用远光光斑图形的对称性,利用上下左右对称分布的光电池对光轴中心进行检测。而由于近光光斑图形的非对称性,无法使用测量远光的方法对近光进行单独检测,通常利用图像分析的办法来获取明暗截止线拐点的位置来测取远近光各个特征参数,为汽车驾驶员提供准确的数据。

汽车夜间行驶时,前照灯远光能照亮前100m处一定范围内高2m的物体,这样才能保证司机发现前方有障碍物时,及时采取制动或绕行措施,让停车距离在视距之内,确保行车安全。

2 汽车前照灯检测技术发展

汽车前照灯检测技术,从早期的屏幕观察检测,到后来的仪器检测,发展到现在用的CCD和数字图像处理(DSP)相结合的检测技术,都具备智能化、自动化检测技术水平。

2.1 屏幕法检测

简单的屏幕检测,就是在被测灯前方10m处垂挂一屏幕,在屏幕上按照标准要求画好光束照射位置点和线,把受检车辆的前照灯光打开,照射在屏幕上,用肉眼观察该光束的位置是否符合标准要求,可测近光和远光。这种方法的特点是设备简单,不需要软件处理系统,对场地和环境要求高、但效率较低,而且依赖人的主观判断的程度比较大,检测结果一致性较差,误差大。因此在大流量的检测线上,很少使用这种检测方法。

2.2 采用CCD感光检测技术

利用CCD摄像头的感光技术,将采集到的光信号转化为电信号的原理,并最终通过图像采集卡将模拟的电信号转化为数字信号,输出到计算机,由计算机数据处理系统进行处理,就可测出前照灯远光发光强度和近光偏移量。采用CCD对光检测技术,其检测精度完全可以满足国标±15′的要求。

2.3 数字图像处理DSP检测技术

这项新型的检测技术主要是把CCD摄像头采集到的模拟视频信号转化成数字视频信号,然后利用DSP(数字信号处理器)的数字视频采集卡及处理系统对数字视频信号根据需要进行数字运算和处理,以得到需要测量的参数。

从以上灯光检测技术的发展历程可以看出,随着电子技术和计算机技术的不断发展和普及,数字图像处理技术也得到了迅速的发展。到目前,各大汽车检测站用的较多的是利用CCD感光系统精确成像,采用DSP系统进行图像分析处理及电子控制技术,精确进行汽车前照灯远近光灯技术参数进行测试。DSP(Digital Signal Processing)数字信号处理具有速度快,集成度高,接口方便等特点。

3 CCD感光系统的测量原理

3.1 成像原理

利用几何光学中的物像对应关系,使远处的大范围光强分布成为较小的可测量实像,用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

根据GB7258-2004《机动车运行安全技术条件》中屏幕法的要求,前照灯利用几何光学中的物像对应关系,使远处(10m)屏幕上的大范围发光强度(光强)分布成为较小的可测量实像(1m处成像屏上),用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

前照灯可以认为是具有一定光强分布的面光源。前照灯在10m处光线会聚成像为AB。在光路中插人菲涅耳透镜组(假设等效为L)后,AB的光线实际会聚成实像为AB,如图2所示。

如果假设菲涅耳透镜的焦距为f,则有以下关系式:

选择合适比例的l和f彭阿以得到恰当的像,从而方便测量。

3.2 测量时的瞄准方式

空间角度的检测必须要获得2个点的位置,在光束偏角的测量中也不例外。在进行测之前,首先必须找到前照灯的位置或第一个光束参考点的位置。图3为瞄准前照灯方式的测量原理,这种测量方式是先利用CCD摄像头1找到前照灯的位置,然后用CCD摄像头2拍摄前照灯通过透镜成像后的光斑图像,分析其中的光轴位置(远光或近光),得到与零点相比的偏差,从而根据标定的数据得到实际前照灯的角度偏差值。

直接对准前照灯:

这种测量方式是先利用摄像头找到车灯的位置,然后拍摄成像后的光斑图像,分析其中的光轴位置(远光或近光),得到和零点相比的偏差,从而根据标定的数据得到实际的角度偏差值。

3.3 光强测量分析

由于在低照度下,CCD的输出电压与照度有良好的线性关系,这样CCD面元信号的数字量便可与外部光源照射到检测幕布上照度值联系起来了。根据测量时建立起来的关系数据库,根据空间采样后各像元的数字量即得出各点的光照强度。

3.4 角度测量分析

主要利用灯光(远光中心点、近光明暗截止线转角点)在屏幕上会有X的位移,经透镜成像后,在透镜像方焦平面上引起的成像点的位移X′可由CCD获得的数字化图像分析求出,进而推算出光轴偏转角度。利用远光照明的对称性,找到远光光斑的对称中心,然后在前照灯打开近光照明的条件下,模拟人眼的判断过程,对近光的拐点进行分析。同样的,在进行近光角度检测时,由于CCD图形具有分辨率高的优势,结合计算机技术,和光电池扫描的方法相比可以进行更为准确的拐点的搜寻。

结束语

综上所述,选用专业的图像处理芯片对前照灯近光光束配光图像进行分析处理,可准确确定近光光束明暗截止线转角和近光光束照射方向。

参考文献

[1]吴勇,邹颖.前照灯检测仪检测距离的探讨[J].汽车维护与修理,2005,12.

[2]赵彬.汽车前照灯检测过程中存在的问题及对策[J].无锡商业职业技术学院学报,2008,06.

点击下页还有更多>>>汽车前照灯技术论文

电控汽车应用优点与维修技术探析论文

随着社会科技的不断发展,汽车工业与电子工业的完美结合,现代汽车上的电子应用越加广泛,汽车电子化、智能化的道路延伸,促使电控汽车时代的到来。除了车的性能、功能、安全性和舒适性等几个方面,人们也开始关注现代汽车在节能减排上的问题,特别是对电控汽车维修技术需求的增加,汽车维修技术也将显得尤为重要。

1 电控汽车技术概述

1.1 电控汽车技术

当代汽车主要是由机、电、液共同组成的现代一体化产品。而其中的电子控制技术已然成为现代汽车发展水平当中的重要趋势与标志。汽车电子控制技术是由传感器、电子控制中枢(ECU)、驱动器和控制程序软件四个部分共同组成[1].并分为汽车电子控制系统和车载电子信息系统,其中汽车电子控制系统分为发动机控制、底盘控制、车身控制。发动机控制包括:点火控制、喷油控制(EFI)、怠速控制(ISC)、废气再循环控制(EGR),底盘控制包括:自动变速器(ECT)、防抱控制(ABS)、驱动防滑(ASR)、主动悬架控制、动力转向(EPS)、巡航控制(CCS),车身控制包括:空调控制、车灯控制、中央门锁控制、安全气囊等。

1.2 电控汽车技术的发展趋势

提升控制自由度、控制功能、控制精准度、动态响应速度、故障诊断、集成化、智能化、网络化等几个方面,必将是电控汽车技术重要发展趋势,形成了汽车电控技术中信息处理部分的集中化,控制处理部分的分散化等分层控制思想。

2 电控汽车在应用中的优点

2.1 安全性和舒适性

安全性来说,电子技术在电控汽车安全性上得到了有效的应用,使汽车整体安全性能得到了很大的提升,在因汽车本身造成的交通事故当中,研究开发出汽车防滑电子装置、智能驾驶信息系统、安全气囊等电子装置,有效的减少车身及人员损失。在因人为造成交通事故中,研究开发出检测人体状态的感官电子装置、有效的防止因酒后驾驶及疲劳驾驶造成的交通灾害[2].

舒适性来说,电控汽车根据车体现状温度及光感适宜度依照个人要求以及不同环境下进行自我感应控制调解,使其温度和光感控制在适应的范围内,除此之外电控汽车在平顺性、噪音排除、空气温度和湿度调节等方面上,都具备一定的优势,从而提升了架车的舒适度。

2.2 降低排放污染

电控汽车装用传感器控制的发动机空然比闭环控制系统,可以使其处于理论空然边缘最大化工作。如果加装废气再循环和三元催化净化的装置,可达到有效燃油效果,并减少燃油废气中有毒气体的排放积数,从而使其降低排放污染,为减少城市废气污染做出有效贡献,以便达到可持续发展的社会中心思想。

2.3 减少燃油消耗

现代电控汽车发动机基本上都已经采用了电子综合优化控制,它的优点在于可以精确控制喷油量和点火时间,使汽车更大化处于最佳理论状态下工作,相对于传统汽车相比,有效的节约燃油消化,降低消耗成本。

2.4 减少汽车修复时间

现代汽车基本都装有自我车身诊断系统,相对于人来说,有效的提高了诊断的精准性和诊断速度,降低了发现问题、查找问题、解决问题过程所浪费的时间,提高用户的`工作效率。

3 电控汽车维修技术的发展

随着近代科技的不断发展,电子计算机技术、互联网技术的高速发展,加快了传统汽车迈向电控汽车的步伐,有效的推进了汽车走向高科技的道路,汽车在各个方面得到不断地完善,加大了汽车维修难度,也将汽车维修推向了重重困难面前,传统的汽车维修已经不能解决如今汽车出现的问题,所以将会有新一代的汽车维修技术将其取而代之。现代汽车维修技术将趋向于对汽车高科技方面的研究,维修设备现代化、维修咨询网络化、维修诊断专家化、维修信息管理化和服务对象社会化也将得到更进一步的发展。

现代汽车汽车维修理念将从汽车维修转换为汽车保养,对汽车真正服务是保证用户对汽车的正常使用同时是通过服务客户给客户增加价值,所以汽车保养也将是汽车维修中的重要程序,随着近代零修理的理念被人们所认同,汽车保养理念也将根植汽车维修技术当中。

参考文献:

[1] 张卫 . 浅谈电控汽车的优点及维修技术的发展 [J]. 计算机光盘软件与应用,2010(9),107.

[2] 彭兴会 . 汽车电子技术行业现状分析 [J]. 通讯世界,2015(24):312-313.

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过0.2V,24V电器系统不的超过 0.4V。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为1.7欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为8.64V+/-0.15V。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

汽车论文参考目录

1、论文题目:要求准确、简练、醒目、新颖。2、目录:目录是论文中主要段落的简表。(短篇论文不必列目录)3、提要:是文章主要内容的摘录,要求短、精、完整。字数少可几十字,多不超过三百字为宜。4、关键词或主题词:关键词是从论文的题名、提要和正文中选取出来的,是对表述论文的中心内容有实质意义的词汇。关键词是用作机系统标引论文内容特征的词语,便于信息系统汇集,以供读者检索。每篇论文一般选取3-8个词汇作为关键词,另起一行,排在“提要”的左下方。主题词是经过规范化的词,在确定主题词时,要对论文进行主题,依照标引和组配规则转换成主题词表中的规范词语。5、论文正文:(1)引言:引言又称前言、序言和导言,用在论文的开头。引言一般要概括地写出作者意图,说明选题的目的和意义,并指出论文写作的范围。引言要短小精悍、紧扣主题。〈2)论文正文:正文是论文的主体,正文应包括论点、论据、论证过程和结论。主体部分包括以下内容:a.提出-论点;b.分析问题-论据和论证;c.解决问题-论证与步骤;d.结论。6、一篇论文的参考文献是将论文在和写作中可参考或引证的主要文献资料,列于论文的末尾。参考文献应另起一页,标注方式按《GB7714-87文后参考文献著录规则》进行。中文:标题--作者--出版物信息(版地、版者、版期):作者--标题--出版物信息所列参考文献的要求是:(1)所列参考文献应是正式出版物,以便读者考证。(2)所列举的参考文献要标明序号、著作或文章的标题、作者、出版物信息。

随着国民经济的迅猛发展,汽车产量逐年增加,2006年已达720万辆。我国汽车保有量越来越多,车型也越来越复杂。尤其是高科技的飞速发展,一些新技术、新材料在汽车上的广泛应用后,给汽车故障诊断与排除增加了一定难度。本篇论文重点讨论轿车离合器的故障分析及维修方法。离合器是手动变速汽车必备的一个重要总成。没有离合器手动挡汽车将无法起步,并且难以实现挡位变换。在汽车使用中,离合器难免出现这样、那样的故障,直接影响汽车的正常运行。现在汽车迅速进入家庭,汽车私有化程度提高,所以汽车故障将会影响到我们每一个人。分析研究离合器故障现象、原因、探索离合器故障的排除方法和离合器的维修工艺,具有重大而现实的意义。本文重点通过北京现代轿车离合器故障的探讨,正确认识离合器故障,更好的使用和维护离合器。2离合器概述在汽车上,离合器是手动汽车和电控换档机械式自动变速器汽车传动系中的一个重要总成,是保证这样汽车能够起步和换档的一个必备的独立部件。2.1离合器的功用及发展概况2.1.1离合器的功用离合器安装在发动机与变速器之间,用来分离或接合前后两者之间动力联系。其功用是:1)使汽车平稳起步;2)中断给传动系的动力,配合换挡3)防止传动系过载2.1.2离合器的发展概况现今所用的盘片式离合器的先驱的多片盘式离合器,它是直到1925年以后才出现的。多片离合器最主要的优点是,在汽车起步时离合器的接合比较平顺,无冲击。20世纪20年代末,直到进入30年代时,只有工程车辆、赛车和大功率的轿车上使用多片离合器。多年的实践经验和技术上的改进使人们逐渐趋向与首选单片干式摩擦离合器,因为它具有从动部件转动惯量小、散热性好、结构简单、调整方便、尺寸紧凑、分离彻底等优点,而且在结构上采取一定措施,已能做到接合平顺,因此现在广泛用于大、中、小各类车型中。如今单片干式摩擦离合器在结构设计方面相当完善。采用具有轴向弹性的从动盘,提高了离合器接合时的平顺性。离合器从动盘总成中装有扭转减振器,防止了传动系统的扭转共振,减小了传动系噪声和动载荷,随着人们对汽车舒适性要求的提高,离合器已在原有基础上得到不断改进,乘用车上愈来愈多地采用具有双质量飞轮的扭转减振器,能更有效地降低传动系的噪声。2.2离合器工作原理种类以及要求2.2.1离合器的种类汽车离合器有摩擦式离合器、液力偶合器、电磁离合器等几种。液力偶合器靠工作液(油液)传递转矩,外壳与泵轮连为一体,是主动件;涡轮与泵轮相对,是从动件。当泵轮转速较低时,涡轮不能被带动,主动件与从动件之间处于分离状态;随着泵轮转速的提高,涡轮被带动,主动件与从动件之间处于接合状态。电磁离合器靠线圈的通断电来控制离合器的接合与分离。如在主动与从动件之间放置磁粉,则可以加强两者之间的接合力,这样的离合器称为磁粉式电磁离合器。目前,与手动变速器相配合的绝大多数离合器为干式摩擦式离合器,按其从动盘的数目,又分为单盘式、双盘式和多盘式等几种。摩擦式离合器又分为湿式和干式两种。离合器的工作原理2.2.2离合器工作原理离合器的工作原理:离合器的主动部分和从动部分借接触面间的摩擦作用,或是用液体作为传动介质(液力偶合器),或是用磁力传动(电磁离合器)来传递转矩,使两者之间可以暂时分离,又可逐渐接合,在传动过程中又允许两部分相互转动。目前在汽车上广泛采用的是用弹簧压紧的摩擦离合器(简称为摩擦离合器)。2.2.2.1摩擦式离合器工作原理:发动机飞轮是离合器的主动件。带有摩擦片的从动盘和从动盘毂借滑动花键与从动轴(变速器主动轴)相连。压紧弹簧将从动盘压紧在飞轮端面上。发动机转矩即靠飞轮与从动盘接触面之间的摩擦作用而传到从动盘,再由此经过从动轴和传动系统中一系列部件驱动车轮。弹簧的压紧力越大,则离合器所能传递的转矩也越大。2.2.3摩擦离合器应满足的基本要求(1)保证能传递发动机发出的最大转矩,并且还有一定的传递转矩余力。(2)能作到分离时,彻底分离,接合时柔和,并具有良好的散热能力。(3)从动部分的转动惯量尽量小一些。这样,在分离离合器换档时,与变速器输入轴相连部分的转速就比较容易变化,从而减轻齿轮间冲击。

汽车工程专业毕业论文,已发送,注意查收。希望对你有帮助。

论文汽车尾灯的参考文献

汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性的要求。因而,空调系统作为现代轿车基本配备,也就成为了必然。近年来,环保和能源问题成为世界关注的焦点,也成为影响汽车业发展的关键因素,各种替代能源动力车的出现,为汽车空调业提出了新的课题与挑战。自本世纪20年代汽车空调诞生以来,伴随汽车空调系统的普及与发展,汽车空调的发展大体上经历了五个阶段:单一取暖阶段、单一冷气阶段、冷暖一体化阶段、自动控制阶段、计算机控制阶段。空调的控制方法也经历了由简单到复杂,再由复杂到简单的过程。作为汽车空调系统的电路控制方面也再不段的更新改进,同时,我国汽车空调的安装随着汽车业的发展以达到100%的普及性,空调已成为现代汽车的一向基本配备。给汽车空调的使用与维修问题带来新的挑战。论文最后以汽车空调故障检修的方法,对汽车空调系统的再深入探讨,以达到对汽车空调系统的了解,并运用在实际工作中。关键词:汽车空调压缩机检修(一)汽车空调的过去与未来汽车空调是指对汽车座厢内的空气质量进行调节的装置。不管车外天气状况如何变化,它都能把车内的湿度、温度、流速、洁度保持在驾驶人员感觉舒适的范围内。最原始的汽车空调仅是开窗换气式。最早的汽车空调装置始于1927年,它仅由加热器、通风装置和空气过滤器三者组成,且只能对车室供暖。准确地讲,汽车空调的历史,应该从制冷技术应用在车上开始。20世纪30年代末期美国的几部公共汽车上装上了应用制冷技术的冷气装置。直到20世纪60年代,应用制冷技术的汽车空调才开始逐步地普及起来。以后,人们对汽车空调的兴趣逐年增加,汽车空调技术日趋完善,功能也越来越全面。它的发展大体上可以分为如下几个阶段:单一供暖空调装置阶段始于1927年,目前在寒冷的北欧,亚洲北部地区,汽车空调仍使用单一供暖系统。单一供冷空调装置阶段始于1939年,美国帕克汽车公司率先在轿车装上机械制冷降温空调器。目前单一降温的汽车空调仍在热带、亚热带部分地区使用。冷暖型汽车空调阶段始于1954年,原美国汽车公司,首先在轿车安装于冷暖一体化空调器,这样汽车空调才具备了降温、除湿、通风、过滤、除霜等空气的调节功能。该方式目前仍然大量的使用在低档车上,是目前使用量最大的一种方式。自控汽车空调装置阶段由于前述的冷暖型汽车空调需依靠人工调节,这既增加上司机的工作量,还使控制不理想。通用汽车公司1964年率先在轿车上应用自控汽车空调。自控空调只需预先设定温度装置,便能自动地在设定的温度范围内运行。装置根据传感器随时检测车外温度,自动地调制装置各部件工作,达到控制车外温度和行驶其他功能的目的。目前,大部分的中高级轿车,高级大客车都装备自控空调电脑控制汽车空调阶段自1977年美国通用汽车公司、日本五十铃汽车公司,同时将自行研制的电脑控制汽车空调系统装上各自的轿车上后,即预示着汽车空调技术已发展到一个新阶段。电脑控制的汽车空调功能增加,显示数字化,冷、暖、通风调控三位一体化。电脑按照车内外的环境所需,实现了调节的精细化。通过电脑控制实现了空调运行与汽车运行的协调,极大地提高了制冷效果,节约了燃料,从而提高了汽车的整体性能和舒适程度。目前电脑控制的空调都装上豪华型轿车上。(二)汽车空调的特点众所周知汽车空调是以采用发动机的动力为代价来完成调节车厢内空气环境的。了解汽车空调的特点,有利于进行汽车空调的使用和维修。与室内空调相比,汽车空调主要有如下特点:1.汽车空调安装在行驶的车辆上,承受着剧烈频繁的振动和冲击,因此,各部件应有足够的强度和抗振能力,接头应牢固并防漏。不然将会造成汽车空调制冷系统的泄露,结果破坏了整个空调系统的工作条件,严重的会损坏制冷系统的压缩机等部件。使用中要经常检查系统内制冷剂的多少,据统计,由于制冷剂的泄露而引起的空调故障约占全部故障的80%。2.汽车空调所需的动力均来自发动机。其中轿车、轻型汽车、中小型客车及工程机械,空调所需的动力和驱动汽车的动力均来自一台发动机。这空调称非独立空调系统。大型客车和豪华型大、中客车,由于所需制冷量和暖气量大,一般采用专用发动机驱动制冷压缩机和设立独立的取暖设备,故称之为独立式空调系统。虽然非独立空调系统会影响汽车的动了性,但它相对于独立空调,在设备成本、运行成本上都较经济。据测试,汽车安装了非独立式空调后,耗油量会增加10%到20%(与车速有关)。发动机输出功率减少10%到12%。3.汽车空调的特定工作环境要求汽车空调的制冷、制热能力尽可能的大。其原因如下:(1)夏天车内的乘客密度大,产热量大,热负荷高;冬天采暖人体所需的热量亦大。(2)为了减轻自重,汽车隔热层一般很薄,加上汽车门窗多,面积大,所以汽车隔热性差,热损大。(3)汽车的工作环境因在野外,直接受阳光、霜雪、风雨等的影响,环境变化剧烈。要使汽车空调在最短的时间里在车厢内达到舒适的环境,就要求其制冷量特别大。对非独立的空调系统来说,由于发动机工况频繁变化,所以制冷系统的制冷机变化大。比如发动机在高速和怠速运行时,转速相差10倍。这必然导致压缩机输送的制冷剂量变化极大。制冷剂流量变化大,轻者引起制冷效果不佳,重者引起压力过高,压缩机出现敲击现象,发生事故。因此,汽车空调制冷系统较室内复杂得多。(4)由于汽车本身的特点,要求汽车空调结构紧凑,质轻、量小,能在所有限的空间进行安装。目前空调的总比重比60年代下降了50%,而制冷能力却提高了50%。(5)汽车空调的供暖方式与室内空调完全不同。对于非独立式汽车空调,一般利用发动机的冷却水或废气余热,而室内空调则是利用一个电磁阀,改变制冷剂量,机组很快起动并转入稳定状况。(三)汽车空调的性能评价指标1.温度指标温度指标是指最重要的一个环节。人感到最舒服的温度是200C到280C,超过280C,人就会觉得燥热。超过400C,即为有害温度,会对人体健康造成损害。低于140C人就会觉得冷。当温度下降到00C时,会造成冻伤。因此,空调应用控制车内温度夏天在250C,冬天在180C,以保证驾驶员正常操作,防止发生事故,保证乘员在舒适的状况下旅行。2.湿度指标湿度的指标用相对湿度来表示。因为人觉得最舒适的相对湿度在50%--70%,所以汽车空调的湿度参数要控制在此范围内。3.空气的清新度由于空间小,乘员密度大,在密闭的空间内极易产生缺氧和二氧化碳浓度过高。汽车发动机废气中的一氧化碳和道路上的粉尖,野外有毒的花粉都容易进入车厢内,造成车内空气浑浊,影响驾驶人员身体健康。这样汽车空调必须具有对车内空气过滤的功能,以保证车内空气清新度。4.除霜功能由于有时汽车内外温度相差很大,会在玻璃上出现雾式霜,影响司机的视线,所以汽车空调必须有除霜功能。5.操作简单、容易、稳定。汽车空调必须作到不增加驾驶员的劳动强度,不影响驾驶员的视线的正常驾驶。第二章汽车空调的组成与原理(一)汽车空调的工作原理压缩机运转时,将蒸发器内产生的低温低压制冷剂蒸气吸入并压缩后,在高温高压(约700C,1471KPa)的状况下排出。这些气态蒸气流入冷凝器,并在此受到散热和冷却风扇的作用强制冷却到500C左右。这时,制冷剂由气态变为液态。被液化了的制冷剂,进入干燥器,除去了水和杂质后,流入膨胀阀。高压的液态制冷剂从膨胀阀的小空流出,变为低压雾状后流入蒸发器。雾状制冷剂在蒸发器内吸热汽化变为气态制冷剂,从而使蒸发器表面温度下降。从送风机出来的空气,不断流过蒸发器表面,被冷却后送进车厢内降温。气态制冷剂通过蒸发器后又重新被压缩机吸入,这样反复循环即可达到制冷目的。(二)汽车空调主要功能包括以下4大部分:制冷、制热、通风、除湿制冷系统原理:汽车空调的压缩机依靠汽车发动机的动力提供,汽车在怠速状态下打开空调制冷怠速会明显增大,油耗也会相应的增加,油耗增加的大小与环境温度有最直接的关系,环境温度高制冷剂膨胀的压力大,发动机驱动空调的消耗也相应加大,环境温度低油耗相应减少。制热系统原理:汽车空调制热与压缩机没有丝毫关系,制热的热源不是空调本身获取的,是由汽车的散热水箱(中控台下面的暖风机总成内的副水箱)提供,早晨在热车前空调吹出来的是冷风,待热车后空调热风源源不断的送出来,制热本身基本没有能量消耗,是利用汽车的余热完成的.但在冬季,为了提升水温,加大喷油量,也使耗油量增加。但是只是在启动初期,等发动机运转正常,就是利用发动机的散热来供暖了。(而有的柴油车由于水温上升慢,为了一发动车就能享受到暖风,所以在暖风机里面加有电热丝)。通风:通风分为内循环和外循环,使用内循环时车内空气基本不与外界交流,使用外循环时位于挡风玻璃下的新风口会将外界的空气源源不断的送进来,以保持车内空气的清新.除湿:空调制冷的过程就是除湿的过程,从制冷时产生的大量冷凝水就可以看出来了,在湿度较大的阴雨天气或是温差太大的时候车内的玻璃上容易起雾,打开空调驱雾就是一个除湿的过程。(三)汽车空调的组成汽车空调一般主要由压缩机、电控离合器、冷凝器、蒸发器、膨胀阀、贮液干燥器、管道、冷凝风扇等组成。汽车空调分高压管路和低压管路。1.电磁离合器在非独立式汽车空调制冷系统中,压缩机是由汽车主发动机驱动的。在需要时接通或切断发动机与压缩机之间的动力传递。另外,当压缩机过载时,它还能起到一定的保护作用。因此,通过控制电磁离合器的结合与分离,就可接通与断开压缩机。当空调开关接通时,电流通过电磁离合器的电磁线圈,电磁线圈产生电磁吸力,使压缩机的压力板与皮带轮结合,将发动机的扭矩传递给压缩机主轴,使压缩机主轴旋转。当断开空调开关时,电磁线圈的吸力消失。在弹簧作用下,压力板和皮带轮脱离,压缩机便停止工作。2.压缩机作用是使制冷剂完成从气态到液态的转变过程,达到制冷剂散热凝露的目的。同时在整个空调系统,压缩机还是管路内介质运转的压力源,没有它,系统不仅不制冷而且还失去了运行的动力。(1)用于汽车制冷系统的压缩机按运动型式可分为:往复活塞式曲轴连杆式径向活塞式轴向活塞式翘板式斜板式旋转式旋叶式圆形汽缸椭圆形汽缸转子式滚动活塞式三角转子式螺杆式涡旋式1)曲轴连杆式压缩机图(1)曲轴连杆式压缩机曲轴连杆式压缩机如图(1)它是一种应用较为广泛的制冷压缩机。压缩机的活塞在汽缸内不断地运动,改变了汽缸的容积,从而在制冷系统中起到了压缩和输送制冷剂的作用。压缩机的工作,可分为压缩、排气、膨胀、吸气等四个过程2)斜板式压缩机图(2)斜板式压缩机斜板式压缩机如图(2)它的润滑方式有两种,一种是采用强制润滑,用由主轴驱动的油泵供油到各润滑部位及轴封处。主要用于豪华型轿车或小型客车较大制冷量的压缩机。另一种是采用飞溅润滑,我国上海内燃机油泵厂生产的斜板式压缩机即是采用飞溅润滑。斜板式压缩机结构紧凑,效率高,性能可靠,因而适用于汽车空调。3)旋叶式压缩机图(3)旋叶式压缩机旋转叶片式压缩机如图(3)由于旋转叶片式压缩机的体积和重量可以做到很小,易于在狭小的发动机舱内进行布置,加之噪声和振动小以及容积效率高等优点,在汽车空调系统中也得到了一定的应用。但是旋转叶片式压缩机对加工精度要求很高,制造成本较高。4)滚动活塞式压缩机滚动活塞式压缩机具有质量小、体积小、零部件少、效率高、可靠性好以及适宜于大批量生产等优点。3.冷凝器汽车空调制冷系统中的冷凝器是一种由管子与散热片组合起来的热交换器。其作用是:将压缩机排出的高温、高压制冷剂蒸气进行冷却,使其凝结为高压制冷剂液体。汽车空调系统冷凝器均采用风冷式结构,其冷凝原理是:让外界空气强制通过冷凝器的散热片,将高温的制冷剂蒸气的热量带走,使之成为液态制冷剂。制冷剂蒸气所放出的热量,被周围空气带走,排到大气中。汽车空调系统冷凝器的结构形式主要有管片式、管带式和鳝片式三种。(1)管带式它是由多孔扁管与S形散热带焊接而成,如图12所示。管带式冷凝器的散热效果比管片式冷凝器好一些(一般可高10%左右〉,但工艺复杂,焊接难度大,且材料要求高。一般用在小型汽车的制冷装置上。(2)鳝片式它是在扁平的多通管道表面直接锐出鳝片状散热片,然后装配成冷凝器,如图13所示。由于散热鳝片与管子为一个整体,因而不存在接触热阻,故散热性能好;另外,管、片之间无需复杂的焊接工艺,加工性好,节省材料,而且抗振性也特别好。所以,是目前较先进的汽车空调冷凝器。4.蒸发器也是一种热交换器,也称冷却器,是制冷循环中获得冷气的直接器件。其作用是将来自热力膨胀阀的低温、低压液态制冷剂在其管道中蒸发,使蒸发器和周围空气的温度降低。同时对空气起减湿作用。5.膨胀阀膨胀阀也称节流阀,是组成汽车空调制冷系统的主要部件,安装在蒸发器入口处,是汽车空调制冷系统的高压与低压的分界点。其功用是:把来自贮液干燥器的高压液态制冷剂节流减压,调节和控制进入蒸发器中的液态制冷剂量,使之适应制冷负荷的变化,同时可防止压缩机发生液击现象(即未蒸发的液态制冷剂进入压缩机后被压缩,极易引起压缩机阀片的损坏)和蒸发器出口蒸气异常过热。6.贮液干燥器贮液干燥器简称贮液器。安装在冷凝器和膨胀阀之间,如图20所示,其作用是临时贮存从冷凝器流出的液态制冷剂,以便制冷负荷变动和系统中有微漏时,能及时补充和调整供给热力膨胀阀的液态制冷剂量,以保证制冷剂流动的连续和稳定性。同时,可防止过多的液态制冷剂贮存在冷凝器里,使冷凝器的传热面积减少而使散热效率降低。而且,还可滤除制冷剂中的杂质,吸收制冷剂中的水分,以防止制冷系统管路脏堵和冰塞,保护设备部件不受侵蚀,从而保证制冷系统的正常工作。贮液器出口端旁边装有一只安全熔塞,也称易熔螺塞,它是制冷系统的一种安全保护装置。其中心有一轴向通孔,孔内装填有焊锡之类的易熔材料,这些易熔材料的熔点一般为85℃-95℃。7.孔管孔管是固定孔口节流装置。两端都装有滤网,以防止系统堵塞。和膨胀阀一样,孔管也装在系统高压侧,但是取消了贮液干燥器,因为孔管直接连通冷凝器出口和蒸发器进口。孔管不能改变制冷剂流量,液态制冷剂有可能流出蒸发器出口。因此,装有孔管的系统,必须同时在蒸发器出口和压缩机进口之间,安装一个积累器,实行气液分离,以防液击压缩机。孔管是一根细钢管,它装在一根塑料套管内。在塑料套管外环形槽内,装有密封圈。有的还有两个外环形槽,每槽各装一个密封圈。把塑料套管连同孔管都插入蒸发器进口管中,密封圈就是密封塑料套管外径和蒸发器进口管内径间的配合间隙用的。安装使用后,系统内的污染物集聚在密封圈后面,使堵塞情况更加恶化。就是这种系统内的污染物,堵塞了孔管及其滤网。这种孔管不能修,如需维护,只能清理滤网。坏了只有更换,孔管内孔的积垢,也不能清理。8.积累器用孔管代替膨胀阀时,汽车空调制冷系统要在低压侧安装积累器。积累器是一种特殊形式的贮液干燥器,用于回气管路中的气液分离,滤网设计有特殊要求,只许润滑油从中通过,而不允许液态制冷剂从中通过。使用孔管的汽车空调制冷系统,总是存在一种可能性:制冷剂离开蒸发器时,还是液体。为了防止液态制冷剂损坏压缩机,必须在蒸发器出口和压缩机进口之间设置积累器,以防止液态制冷剂通过。液态制冷剂在积累器中蒸发,然后以气态形式进入压缩机。9.风机汽车空调制冷系统采用的风机,大部分是靠电机带动的气体输送机械,它对空气进行较小的增压,以便将冷空气送到所需要的车室内,或将冷凝器四周的热空气吹到车外,因而风机在空调制冷系统中是十分重要的设备。风机按其气体流向与风机主轴的相互关系,可分为离心式风机和轴流式风机两种。10.电磁旁通阀电磁旁通阀多用于大、中型客车的独立式空调制冷系统,其作用是控制蒸发器的蒸发压力和蒸发温度,防止蒸发器因温度过低而结霜。电磁旁通阀一般安装在贮液干燥器与压缩机吸入阀之间。11.主轴油封主轴油封损坏,会引起雪种和润滑油泄漏。一般可以从有关的油迹来确定泄漏的地方。也可将压缩机拆下,浸入水中,以进出、口不没入水中为度。将排气口堵住,再从进气口加气压。从有关冒气泡的地方很容易确诊是不是主轴油封泄漏。(四)汽车空调系统分类(按动力源分)1.独立式空调:有专门的动力源(如第二台内燃机)驱动整个空调系统的运行。一般用于长途货运、高地板大中巴等车上。独立式空调由于需要两台发动机,燃油消耗高,同时造成较高的成本,并且其维修及维护十分困难,需要十分熟练的发动机维修人员,而且发动机配件不易获得,尤其是进口发动机;另外设计和安装更容易导致系统质量问题的发生,而额外的驱动发动机更增加了发生故障的概率。2.非独立式空调:直接利用汽车的行驶动力(发动机)来运转的空调系统。非独立式空调由主发动机带动压缩机运转,并由电磁离合器进行控制。接通电源时,离合器断开,压缩机停机,从而调节冷气的供给,达到控制车厢内温度的目的。其优点是结构简单、便于安装布置、噪音小。由于需要消耗主发动机10%-15%的动力,直接影响汽车的加速性能和爬坡能力。同时其制冷量受汽车行驶速度影响,如果汽车停止运行,其空调系统也停止运行。尽管如此,非独立式空调由于其较低的成本(相对独立式空调),已逐渐成为市场的主导产品。目前,绝大部分轿车、面包车、小巴都使用这种空调。(五)汽车自动空调系统汽车自动空调系统指的是根据设置在车内外的各种温度传感器的输出信号,由ECU中的微机进行平衡温度的演算,对进气转换风扇、送气转换风门、混合风门、水阀、加热继电器、压缩机和鼓风机等进行自动控制,按照乘客的要求,使车厢内的温度和温度等小气候保持在使人体感觉最舒适的状态。自动空调控制系统的传感器一般有车厢内温度传感器、车厢外温度传感器、蒸发器温度传感器、太阳能传感器、水温传感器等。其中水温传感器位于发动机出水口,它将冷却水温度反馈至ECU,当水温过高时ECU能够断开压缩机离合器而保护发动机,同时也使ECU依据水温控制冷却水通往加热芯的阀门。各个传感器将温度信息反馈到ECU,ECU通过“混合风档”的冷暖风比例而控制空气流的温度,例如当温度过低时ECU指令冷气流经加热芯升温,当温度过高时则增大冷气,当车厢内温度达到预定值时,ECU会发出指令停止“混合风档”伺服电动机运转。同时,ECU还通过“方式风档”伺服电动机控制气流流向,确定出风口的吹风角度。第三章汽车空调的检修一、汽车空调检修的基本工具1.修理空调器的常用工具(1)活板手(2)开口扳手(3)套筒扳手(4)内六角扳手(5)钢丝钳(6)尖嘴钳(7)十字螺丝刀(8)一字螺丝刀(9)锉刀:圆(10)手弓钢锯(11)手枪钻(12)钻头(13)冲击钻(14)刀子(15)剪刀(16)锤子:铁锤、木锤、橡皮锤各1把(17)卡钳(18)小镜子(19)钢卷尺(20)酒精灯(21)温度计(22)电烙铁(23)万用表(24)低压测电笔2.维修用大设备(1)真空泵:一般选用排气量为2L/s,真空度达到5×10-4mmHg的真空泵;(2)气焊设备:氧气瓶、乙炔瓶、减压阀、乙炔单向阀及配套输气管及焊具共1套;(3)电焊设备:电焊机、输入和输出电缆线、焊把及2.5mm、3.5mm焊条共1套;(4)制冷器钢瓶:用来存放制冷剂,一般选用3kg~40kg不等,按实定;(5)定量加液器:可以准确地比空调器充注制冷剂1套;(6)台秤:以确保小钢瓶的充灌制冷剂不超过额定量,避免意外发生1台;(7)氮气瓶:存放氮气,可对空调器进行试压、检漏,以及对制冷系统进行冲洗1套及配套;(8)卤素检漏灯或电子卤素检漏仪:对制冷系统进行检漏1套;(9)兆欧表:测导线绝缘程度500V直流的1套;(10)数字温度表:1套测量空调器的进、出风温度;(11)功率表:测量空调器的输入功率1套;(12)可移动配电盘:供维修接临时电源用;3.维修专用工具(1)胀管器和扩口器:1套(2)割管刀:切割铜管1套(3)弯管器:滚轮式弯管器和弹簧管式弯管器各1套(4)修理阀:三通修理阀或复式修理阀1套(常用)(5)封口钳:将压缩机充气管封死,然后才可以焊封充气管1套(6)力矩扳手:空调配管之间的连接螺母一定要用相应的力矩扳手来坚固(7)电动空心钻:用以打墙孔(小孔径可用冲击钻)、钻头选用70mm、80mm两种规格二、汽车空调制冷系统检修的基本操作1.制冷系统工作压力的检测(1)将歧管压力计正确连接到制冷系统相应的检修阀上,如果手动阀,应使阀处于中位。(2)关闭歧管压力计上的两个手动阀。(3)用手拧紧歧管压力计上的高低压注入软管的联接螺母,让系统内侧的制冷剂将高低压注入软管内的空气排出,然后再将联接螺母拧紧。(4)起动发动机并使发动机转速保持在1000~1500r/min,然后打开空调A/C开关和鼓风机开关,设置到空调最大制冷状态,鼓风机高速运转,温度调节在最冷。(5)关闭车门、车窗和舱盖,发动机预热。(6)把温度计插进中间出风口并观察空气温度,在外界温度为270C时,运行5min后出风口温度应接近70C.(7)观察高低压侧压力,压缩机的吸气压力应为207pa~24kpa,排气压力应为1103~1633kpa。应注意,外界高温高湿将造成高温高压的条件。如果离合器工作,在离合器分离之前记录下数值。2.从制冷系统内放出制冷剂具体方法如下(1)关闭歧管压力计上的手动高低压阀,并将其高低压软管分别接在压缩机高低压检修阀上,将中间软管的自由端放在干净的软布上。(2)慢慢打开手动高压阀,让制冷剂从中间软布上排出,阀门不能开的太大,否则压缩机内的冷冻油会随制冷剂流出。(3)当压力表读数降到0.35Mpa以下时,再慢慢打开手动低压阀,使制冷剂从高低两侧流出。(4)观察压力表读数,随着压力的下降,逐渐打开手动高低压阀,直至低压表读数到零为止。3.制冷剂充注程序抽真空作业从高压侧充注200g液态制冷剂第四章总结随着我国汽车工业的高速发展,作为汽车技术现代化标志之一的汽车空调技术在我国蓬勃发展。汽车空调大大改善了乘坐环境,提高了成员的舒适性。近年来,各种完善的多功能型空调装置的应用,受到用户的普遍欢迎。但对于汽车空调维修人员来说将面临新的挑战!本论文对汽车空调的原理、结构以及必备的工具等知识做了一般性的介绍。重点对修理、维护做了详尽的介绍。这样做的原因,主要是考虑本论文所面对是汽车空调维修人员,并由此希望能帮助学习动手解决一般汽车空调故障的技能。第五章参考文献【1】冯玉琪《实用空调制冷设备维修大全》电子工业出版社1994【2】张蕾《汽车空调》机械工业出版社2007【3】夏云铧齐红《汽车空调应用与维修—从入门到精通》机械工业出版社

汽车论文参考文献

在日常学习和工作中,许多人都有过写论文的经历,对论文都不陌生吧,论文是学术界进行成果交流的工具。写起论文来就毫无头绪?以下是我整理的汽车论文参考文献,欢迎大家分享。

1、汽车AMT控制系统及离合器模糊控制方法的研究 重庆交通学院 2004 中国优秀硕士学位论文全文数据库

2、中国汽车零部件行业发展模式研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

3、汽车行业一体化(质量、环境、职业健康安全)管理体系认证的研究 吉林大学 2007 中国优秀硕士学位论文全文数据库

4、汽车驾驶员前方视野测量系统软件开发 吉林大学 2007 中国优秀硕士学位论文全文数据库

5、合肥汽车客运总公司发展战略研究 合肥工业大学 2007 中国优秀硕士学位论文全文数据库

6、哈尔滨成功汽车维修有限公司发展战略案例 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

7、汽车齿轮工艺的研究与应用 哈尔滨工程大学 2007 中国优秀硕士学位论文全文数据库

8、我国汽车企业品牌竞争力研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

9、汽车造型中的张力和表现性研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

10、湖南汽车零部件产业发展研究 湖南大学 2007 中国优秀硕士学位论文全文数据库

11、丁冰,汽车安全气囊的控制,《现代汽车技术》, VOL.17, No.l(1995), 109--120;

12、朱军编著,《电子控制发动机电路波型分析》,机械工业出版社,2003年1月第一版,P149

[1]陈清泉, 詹宜君. 21 世纪的绿色交通工具-电动汽车. 北京:清华大学出版社, 2001

[2]靳立强, 王庆年, 宋传学. 电动轮驱动汽车动力学仿真模型及试验验证. 吉林大学学报(工学版),2008, 37(4): 745-750

[3]张媛媛. 采用电动轮驱动的电动汽车转矩协调控制研究. 吉林大学博士学位论文, 2009

[4]喻凡, 林逸. 汽车系统动力学. 北京:机械工业出版社, 2012

[5]李白娜. 汽车操纵稳定性的仿真分析研究. 华中科技大学硕士学位论文, 2006

[6]韩力群. 人工神经网络理论/设计及应用. 北京:化学工业出版社, 2007

[7]郭孔辉. 汽车操纵动力学原理. 南京: 江苏科学技术出版社, 2011

[8]曹秉刚, 张传伟, 白志峰等. 电动汽车技术进展和发展趋势. 西安交通大学学报, 2004, 38(1): 1-5

[9]余志生. 汽车理论. 北京:机械工业出版社, 2011

[10]房阳. 汽车操纵稳定性的仿真研究. 辽宁工程技术大学硕士学位论文, 2011

[11]金陶胜,城市道路汽车尾气污染扩散模式研究及其GIS实现[R],2000第五期

[12]何东全、郝吉明、傅立新等,应用OSPM模式进行澳门街区峡谷污染评价[R],环境科学学报,1999,19(3),256-261

[13]廖玉麟:数学物理方程,华中理工大学出版社,1995年第一版

[14]吕先进,时间序列关联维数计算方法[J],系统工程,2002(7): 77-80

[15]武喜怀,汽车尾气对人类健康的危害[J],内蒙古石油化工,2007(5) :69270.

[16]张起森、张亚平,道路通行能力分析,人民交通出版社,2002(5),156-159

[17]王红云,浅谈防治城市汽车尾气污染之责任[J],环境教育,2008(7) : 75276.

[18]熊慕慕,机动车尾气排放与大气污染[J].南阳师范学院学报,2007(6):43.

[19]丁信伟,王淑兰,徐国庆,可燃及毒性气体泄漏扩散研究综述[J],化学工业与工程,1999, 16(2) : 118-122.

[1]杨孝纶.电动汽车技术发展趋势及前景(上)[J].汽车科技.2007(06).

[2]杨孝纶,刘晓康,汪斌.电动汽车技术发展趋势及前景[J].变频器世界.2007(07).

[3]杨孝纶.电动汽车技术发展趋势及前景(下)[J].汽车科技.2008(01).

[4]余群明,石小波,王雄波,杨振东.电动汽车技术(5)电动汽车电控系统发展现状及其趋势[J].大众用电.2008(05).

[5]曹秉刚,张传伟,白志峰,李竟成.电动汽车技术进展和发展趋势[J].西安交通大学学报.2004(01).

[1].范从山.电动汽车技术原理及发展展望[J].扬州职业大学学报.2007,03

[2].祝占元.电动汽车[M]?黄河水利出版社.2007,09

[3].高义民.现代电动汽车、混合动力电动汽车和燃料电池车[M].机械工业出版社.2008

[4].陈世全.燃料电池电动汽车[M].清华大学出版社.2005,5

[5].刘长江.充电站之战电动汽车新机遇[J].第一财经周刊.2010,4

[1]陈翌,孔德洋.德国新能源汽车产业政策及其启示[J].德国研究,2014,01:71-81+127.

[2]罗布·恩德勒,沈建苗.客户体验:特斯拉的取胜法宝[J].IT经理世界,2014,18:38-39.

[3]李美霞.基于消费者视角的纯电动汽车购买决策影响因素及市场化研究[D].华东理工大学,2014.

[4]朱成章.对我国发展纯电动汽车的质疑与思考[J].中外能源,2010,09:11-15.

[1]姚时俊.汽车美容与装饰[M].辽宁:辽宁科学技术出版社.

[2]熊靓.黄金产业汽车美容养护[J].中国科技财富.

[3]朱为国.我国汽车服务业的发展和现状[J].北京汽车.

[4]耿莉敏,我国汽车美容业的现状及存在问题分析,技术经济,2006.3.

[5]姚时俊,闫彬,汽车美容,机械工业出版社,2008.5

[6]赵伟,浅谈我国汽车美容行业的现状及发展前景,科学时代,2010.6.

[7]王永茂,中国后汽车市场的发展现状与趋势,青岛职业技术学院学报,2006.4.

[1]孔晓敏.6S管理探索与思考.现代商贸工业,2010.

[2]韩典.连锁超市品类优化管理特征及关键点分析.现代商贸工业,2011.

[3]张明泉,李超.6S现场精细化管理评价体系的研究.理论纵横,2011.

[4]魏仁干.基于顾客满意的多项目排队问题研究.湖北汽车工业学院学报,2011-04.

[5]陈俊宁.社区便利店营销策略分析.湖南财经高等专科学校学报,2010.

[6]周勇.中国便利店的`当下困境与发展潜力,上海商学院学报,2007.

[7]胡艳英.美陈展示-超市的新营销策略.商场现代化,2008,4.

[8]周越.浅析大型超市的卖场布局和商品陈列设计科学化.物流工程与管理,2011.

[1]林文立.浅析汽车美容装饰现状与发展.《大众汽车》,2014年1期.

[2]薛振刚.浅谈汽车美容装饰的发展趋势.《中外企业家》,2012年17期.

[3]晏承平.浅谈亮洁汽车美容店服务营销优化策略.《农家科技(下旬刊)》,2013年9期.

[4]覃维献.汽车美容[M].北京:北京理工大学出版社,2009:14.

[5]潘家华,魏后凯.中国城市发展报告[M].北京:社会科学文献出版社,2014:128.

[6]樊伟伟.汽车美容与汽车用品店经营全攻略[M].北京:中国经济出版社,2006:97.

[1]朱则刚.我国的汽车销售及其未来趋势[J].城市车辆,2008(08)

[2]马文斌.汽车销售的影响因素及应对策略[J].黑龙江科技信息,2013(26)

[3]王蕾.我国乘用车市场影响因素探析[J].价格理论与实践,2010,(5)

[4]王瑶.市场营销基础实训与指导[M].中国经济出版社,2009.

[5]刘怀连.高职汽车营销专业职业技能培养研究与实践[J].科技信息,2008(1).

[6]寇恩大.汽车营销专业实践教学问题浅析[J].黑龙江科技信息,2011(28).

[7]霍亚楼.汽车营销实训(高职高专市场营销专业)[M].中国劳动社会保障出版社,2009.1.

[1]李磊.汽车4S店经营管理现状与对策研究[D].重庆交通大学,2011.

[2]陈皓颖.简析汽车4S店的财务管理[J].中国总会计师,2010,12:153-154.

[3]瞿曼丽.试论汽车4S店财务管理的重点[J].行政事业资产与财务,2013,20:175-176.

[4]雷云华.汽车4S店财务监管的重点难点及应对措施[J].企业导报,2014,05:55-56.

[5]李磊,简晓春.汽车4S店经营管理现状与对策研究[J].科技信息,2011,17:499-500.

[1]韩通.我国汽车销售市场与展望[C].河南省汽车工程学会第二届科研学术研讨会论文集,2009,9:175-178.

[2]苏晖.目前汽车销售市场现状及变化情况分析[C].21世纪中国汽配市场(三),2005,12:139-157.

[3]韩旭萍.浅析我国汽车销售模式的现状及其创新方向[J].新课程(教育学术),2011,2:1.

[4]马春阳,侯建坤.汽车销售新模式-4S店集群[J].上海汽车,2007,11:32-35.

[5]曹献存.我国汽车销售模式现状及其发展趋势[J].河南商业高等专科学校学报,2006,3:1-4.

[6]杨伟龙.博客营销建立、管理、活用[M].中国人民大学出版社,2009.5.1

[1]叶旋.论实训基地文化建设[J].湖北经济学院报(人文社会科学版),2009.

[2]范毅.高职汽车专业校内实训基地文化建设的思考[J].探索教育研究,2013.

[3]罗婷劼,周霞.浅谈职业意识教育与汽车实训基地文化建设[J].中小企业管理与科技,2009.

[4]吴雄彪,张雁平,花有清.论校内实训基地文化建设[J].实验技术与管理,2008.

[5]叶挺宁.中国汽车产业现状及发展趋势[EB/OL].2008.

[6]王凤兰.现代汽车与汽车文化(第二版)[M].清华大学出版社,2012.

[1]邓闰姝,李小慧,吴广平,关于汽车文化与汽车文明关系的探讨[J],山东大学出版社,2009,(4);51-53

[2]沈爱华,张小妹,朱止平,浅谈汽车文化是促进社会文明进程的一种文化形态[J],职业技术,2010,(14);193

[3]王东林,陈羡矾,黄芝林,汽车文化引领和谐健康的生活方式[J],武汉大学出版社,2010,(4);51-53

[4]沈银涛,张国强,李家辉,汽车文化正从多方面改变着我们的生活世界[J]职业技术学院,2011,(18);193

[5]蓝月晶,占成安,吴成林,汽车文化与汽车文明关系之我见[J]教育学院出版社,2011,(8);51-53

[6]刘百慧,路引明,江止清,对提高汽车文明之我见[J],职业技术,2009,(25);193

[7]陈可明,林小东,袁小顺,汽车文化与汽车文明内在联系研究[J],华南理工学院出版社,2009,(7);51-53

[8]刘玲秀,吴国斌,李佳佳,汽车文化与汽车文明区别分析[J],职业技术学院,2009,(15);193

[1]亨廷顿,文明的冲突与世界秩序的重建(修订版),新华出版社,2010:181~183

[2]郑也夫,轿车文明批判,光明日报,1994.8.9.

[3]顾翔华.繁荣汽车文化,构建和谐汽车社会[J]时代汽车,2013(10)

[4]张国方.论车文化的形成标志及特征[J]四川理工学院学报,2009(02)

[5]赵亚夫.历史教学中的人格教育[J].中学历史教学参考,2002(1-2)

[6]于友西等.历史学科教育学[M].北京:首都师范大学出版社,2000

[7]高立宝.人文教育:高职院校不可忽视的责任--基于一项调查的分析与思考[N].中国教育报,2007-10-01

[8]本书编写组.学会生存--教育世界的今天和明天[M].上海:上海译文出版社,1979

[9]黑格尔.历史哲学[M].北京:三联书店,1956

[1](美)项目管理协会著.项目管理知识体系指南.第3版.卢有杰,王勇译.北京:电子工业出版社,2005,22-27,134-151[4]中国项目管理研究委员会编.中国项理知识体系与国际项目管理专业资质认证标准(C-PMBOK&C-NCB).北京:机械工业出版社,2006,32-36.

[2]陈炜煜,杨婧.项目时间管理理论与探究[J].特区经济,2013(2):221-222.

[3]李跃宇,汪贤裕.项目时间管理及在项目管理软件中的应用.机械工业出版社,2008.01

[4]沈莉洁.WBS在项目管理中的应用研究[J].现代经济信息,2013,7:075.

[5]鲁静.汽车制造企业供应商选择与评价研究[D].辽宁工业大学,2014.

[6]曾婷.JMC公司供应商的评价与选择的研究[D].南昌大学,2013.

[7]金林杰.汽车制造企业信息化探讨[J].物流技术,2012.

[8]吴哲敏.信息技术在物流管理中的应用[M].现代商贸工业,2012.

[1]向鹏程,任宏.基于信息不对称的工程项目主体行为三方博弈分析[J].中国工程科学,2010(9)

[2]齐斌.物流业的产业融合和组织创新[D].福州:福建师范大学.2006

[3]徐剑,刘宗秋.物流产业融合、制造业产业链升级及政府策略[J].沈阳工业大学学报,2012(4)

[4]梁军.全球价值链框架下发展中国家产业升级研究[J].天津社会科学,2007(4)

[5]闫稚珩.浅析我国先进机械制造技术的创新及发展趋势[J].科技风,2013(06).

[6]冯春花,钱炜.基于产学研协同创新模式的“机械制造技术基础”课程教学设计[J].科教导刊(下旬),2016(01).

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

汽车灯光毕业论文参考文献

汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性的要求。因而,空调系统作为现代轿车基本配备,也就成为了必然。近年来,环保和能源问题成为世界关注的焦点,也成为影响汽车业发展的关键因素,各种替代能源动力车的出现,为汽车空调业提出了新的课题与挑战。自本世纪20年代汽车空调诞生以来,伴随汽车空调系统的普及与发展,汽车空调的发展大体上经历了五个阶段:单一取暖阶段、单一冷气阶段、冷暖一体化阶段、自动控制阶段、计算机控制阶段。空调的控制方法也经历了由简单到复杂,再由复杂到简单的过程。作为汽车空调系统的电路控制方面也再不段的更新改进,同时,我国汽车空调的安装随着汽车业的发展以达到100%的普及性,空调已成为现代汽车的一向基本配备。给汽车空调的使用与维修问题带来新的挑战。论文最后以汽车空调故障检修的方法,对汽车空调系统的再深入探讨,以达到对汽车空调系统的了解,并运用在实际工作中。关键词:汽车空调压缩机检修(一)汽车空调的过去与未来汽车空调是指对汽车座厢内的空气质量进行调节的装置。不管车外天气状况如何变化,它都能把车内的湿度、温度、流速、洁度保持在驾驶人员感觉舒适的范围内。最原始的汽车空调仅是开窗换气式。最早的汽车空调装置始于1927年,它仅由加热器、通风装置和空气过滤器三者组成,且只能对车室供暖。准确地讲,汽车空调的历史,应该从制冷技术应用在车上开始。20世纪30年代末期美国的几部公共汽车上装上了应用制冷技术的冷气装置。直到20世纪60年代,应用制冷技术的汽车空调才开始逐步地普及起来。以后,人们对汽车空调的兴趣逐年增加,汽车空调技术日趋完善,功能也越来越全面。它的发展大体上可以分为如下几个阶段:单一供暖空调装置阶段始于1927年,目前在寒冷的北欧,亚洲北部地区,汽车空调仍使用单一供暖系统。单一供冷空调装置阶段始于1939年,美国帕克汽车公司率先在轿车装上机械制冷降温空调器。目前单一降温的汽车空调仍在热带、亚热带部分地区使用。冷暖型汽车空调阶段始于1954年,原美国汽车公司,首先在轿车安装于冷暖一体化空调器,这样汽车空调才具备了降温、除湿、通风、过滤、除霜等空气的调节功能。该方式目前仍然大量的使用在低档车上,是目前使用量最大的一种方式。自控汽车空调装置阶段由于前述的冷暖型汽车空调需依靠人工调节,这既增加上司机的工作量,还使控制不理想。通用汽车公司1964年率先在轿车上应用自控汽车空调。自控空调只需预先设定温度装置,便能自动地在设定的温度范围内运行。装置根据传感器随时检测车外温度,自动地调制装置各部件工作,达到控制车外温度和行驶其他功能的目的。目前,大部分的中高级轿车,高级大客车都装备自控空调电脑控制汽车空调阶段自1977年美国通用汽车公司、日本五十铃汽车公司,同时将自行研制的电脑控制汽车空调系统装上各自的轿车上后,即预示着汽车空调技术已发展到一个新阶段。电脑控制的汽车空调功能增加,显示数字化,冷、暖、通风调控三位一体化。电脑按照车内外的环境所需,实现了调节的精细化。通过电脑控制实现了空调运行与汽车运行的协调,极大地提高了制冷效果,节约了燃料,从而提高了汽车的整体性能和舒适程度。目前电脑控制的空调都装上豪华型轿车上。(二)汽车空调的特点众所周知汽车空调是以采用发动机的动力为代价来完成调节车厢内空气环境的。了解汽车空调的特点,有利于进行汽车空调的使用和维修。与室内空调相比,汽车空调主要有如下特点:1.汽车空调安装在行驶的车辆上,承受着剧烈频繁的振动和冲击,因此,各部件应有足够的强度和抗振能力,接头应牢固并防漏。不然将会造成汽车空调制冷系统的泄露,结果破坏了整个空调系统的工作条件,严重的会损坏制冷系统的压缩机等部件。使用中要经常检查系统内制冷剂的多少,据统计,由于制冷剂的泄露而引起的空调故障约占全部故障的80%。2.汽车空调所需的动力均来自发动机。其中轿车、轻型汽车、中小型客车及工程机械,空调所需的动力和驱动汽车的动力均来自一台发动机。这空调称非独立空调系统。大型客车和豪华型大、中客车,由于所需制冷量和暖气量大,一般采用专用发动机驱动制冷压缩机和设立独立的取暖设备,故称之为独立式空调系统。虽然非独立空调系统会影响汽车的动了性,但它相对于独立空调,在设备成本、运行成本上都较经济。据测试,汽车安装了非独立式空调后,耗油量会增加10%到20%(与车速有关)。发动机输出功率减少10%到12%。3.汽车空调的特定工作环境要求汽车空调的制冷、制热能力尽可能的大。其原因如下:(1)夏天车内的乘客密度大,产热量大,热负荷高;冬天采暖人体所需的热量亦大。(2)为了减轻自重,汽车隔热层一般很薄,加上汽车门窗多,面积大,所以汽车隔热性差,热损大。(3)汽车的工作环境因在野外,直接受阳光、霜雪、风雨等的影响,环境变化剧烈。要使汽车空调在最短的时间里在车厢内达到舒适的环境,就要求其制冷量特别大。对非独立的空调系统来说,由于发动机工况频繁变化,所以制冷系统的制冷机变化大。比如发动机在高速和怠速运行时,转速相差10倍。这必然导致压缩机输送的制冷剂量变化极大。制冷剂流量变化大,轻者引起制冷效果不佳,重者引起压力过高,压缩机出现敲击现象,发生事故。因此,汽车空调制冷系统较室内复杂得多。(4)由于汽车本身的特点,要求汽车空调结构紧凑,质轻、量小,能在所有限的空间进行安装。目前空调的总比重比60年代下降了50%,而制冷能力却提高了50%。(5)汽车空调的供暖方式与室内空调完全不同。对于非独立式汽车空调,一般利用发动机的冷却水或废气余热,而室内空调则是利用一个电磁阀,改变制冷剂量,机组很快起动并转入稳定状况。(三)汽车空调的性能评价指标1.温度指标温度指标是指最重要的一个环节。人感到最舒服的温度是200C到280C,超过280C,人就会觉得燥热。超过400C,即为有害温度,会对人体健康造成损害。低于140C人就会觉得冷。当温度下降到00C时,会造成冻伤。因此,空调应用控制车内温度夏天在250C,冬天在180C,以保证驾驶员正常操作,防止发生事故,保证乘员在舒适的状况下旅行。2.湿度指标湿度的指标用相对湿度来表示。因为人觉得最舒适的相对湿度在50%--70%,所以汽车空调的湿度参数要控制在此范围内。3.空气的清新度由于空间小,乘员密度大,在密闭的空间内极易产生缺氧和二氧化碳浓度过高。汽车发动机废气中的一氧化碳和道路上的粉尖,野外有毒的花粉都容易进入车厢内,造成车内空气浑浊,影响驾驶人员身体健康。这样汽车空调必须具有对车内空气过滤的功能,以保证车内空气清新度。4.除霜功能由于有时汽车内外温度相差很大,会在玻璃上出现雾式霜,影响司机的视线,所以汽车空调必须有除霜功能。5.操作简单、容易、稳定。汽车空调必须作到不增加驾驶员的劳动强度,不影响驾驶员的视线的正常驾驶。第二章汽车空调的组成与原理(一)汽车空调的工作原理压缩机运转时,将蒸发器内产生的低温低压制冷剂蒸气吸入并压缩后,在高温高压(约700C,1471KPa)的状况下排出。这些气态蒸气流入冷凝器,并在此受到散热和冷却风扇的作用强制冷却到500C左右。这时,制冷剂由气态变为液态。被液化了的制冷剂,进入干燥器,除去了水和杂质后,流入膨胀阀。高压的液态制冷剂从膨胀阀的小空流出,变为低压雾状后流入蒸发器。雾状制冷剂在蒸发器内吸热汽化变为气态制冷剂,从而使蒸发器表面温度下降。从送风机出来的空气,不断流过蒸发器表面,被冷却后送进车厢内降温。气态制冷剂通过蒸发器后又重新被压缩机吸入,这样反复循环即可达到制冷目的。(二)汽车空调主要功能包括以下4大部分:制冷、制热、通风、除湿制冷系统原理:汽车空调的压缩机依靠汽车发动机的动力提供,汽车在怠速状态下打开空调制冷怠速会明显增大,油耗也会相应的增加,油耗增加的大小与环境温度有最直接的关系,环境温度高制冷剂膨胀的压力大,发动机驱动空调的消耗也相应加大,环境温度低油耗相应减少。制热系统原理:汽车空调制热与压缩机没有丝毫关系,制热的热源不是空调本身获取的,是由汽车的散热水箱(中控台下面的暖风机总成内的副水箱)提供,早晨在热车前空调吹出来的是冷风,待热车后空调热风源源不断的送出来,制热本身基本没有能量消耗,是利用汽车的余热完成的.但在冬季,为了提升水温,加大喷油量,也使耗油量增加。但是只是在启动初期,等发动机运转正常,就是利用发动机的散热来供暖了。(而有的柴油车由于水温上升慢,为了一发动车就能享受到暖风,所以在暖风机里面加有电热丝)。通风:通风分为内循环和外循环,使用内循环时车内空气基本不与外界交流,使用外循环时位于挡风玻璃下的新风口会将外界的空气源源不断的送进来,以保持车内空气的清新.除湿:空调制冷的过程就是除湿的过程,从制冷时产生的大量冷凝水就可以看出来了,在湿度较大的阴雨天气或是温差太大的时候车内的玻璃上容易起雾,打开空调驱雾就是一个除湿的过程。(三)汽车空调的组成汽车空调一般主要由压缩机、电控离合器、冷凝器、蒸发器、膨胀阀、贮液干燥器、管道、冷凝风扇等组成。汽车空调分高压管路和低压管路。1.电磁离合器在非独立式汽车空调制冷系统中,压缩机是由汽车主发动机驱动的。在需要时接通或切断发动机与压缩机之间的动力传递。另外,当压缩机过载时,它还能起到一定的保护作用。因此,通过控制电磁离合器的结合与分离,就可接通与断开压缩机。当空调开关接通时,电流通过电磁离合器的电磁线圈,电磁线圈产生电磁吸力,使压缩机的压力板与皮带轮结合,将发动机的扭矩传递给压缩机主轴,使压缩机主轴旋转。当断开空调开关时,电磁线圈的吸力消失。在弹簧作用下,压力板和皮带轮脱离,压缩机便停止工作。2.压缩机作用是使制冷剂完成从气态到液态的转变过程,达到制冷剂散热凝露的目的。同时在整个空调系统,压缩机还是管路内介质运转的压力源,没有它,系统不仅不制冷而且还失去了运行的动力。(1)用于汽车制冷系统的压缩机按运动型式可分为:往复活塞式曲轴连杆式径向活塞式轴向活塞式翘板式斜板式旋转式旋叶式圆形汽缸椭圆形汽缸转子式滚动活塞式三角转子式螺杆式涡旋式1)曲轴连杆式压缩机图(1)曲轴连杆式压缩机曲轴连杆式压缩机如图(1)它是一种应用较为广泛的制冷压缩机。压缩机的活塞在汽缸内不断地运动,改变了汽缸的容积,从而在制冷系统中起到了压缩和输送制冷剂的作用。压缩机的工作,可分为压缩、排气、膨胀、吸气等四个过程2)斜板式压缩机图(2)斜板式压缩机斜板式压缩机如图(2)它的润滑方式有两种,一种是采用强制润滑,用由主轴驱动的油泵供油到各润滑部位及轴封处。主要用于豪华型轿车或小型客车较大制冷量的压缩机。另一种是采用飞溅润滑,我国上海内燃机油泵厂生产的斜板式压缩机即是采用飞溅润滑。斜板式压缩机结构紧凑,效率高,性能可靠,因而适用于汽车空调。3)旋叶式压缩机图(3)旋叶式压缩机旋转叶片式压缩机如图(3)由于旋转叶片式压缩机的体积和重量可以做到很小,易于在狭小的发动机舱内进行布置,加之噪声和振动小以及容积效率高等优点,在汽车空调系统中也得到了一定的应用。但是旋转叶片式压缩机对加工精度要求很高,制造成本较高。4)滚动活塞式压缩机滚动活塞式压缩机具有质量小、体积小、零部件少、效率高、可靠性好以及适宜于大批量生产等优点。3.冷凝器汽车空调制冷系统中的冷凝器是一种由管子与散热片组合起来的热交换器。其作用是:将压缩机排出的高温、高压制冷剂蒸气进行冷却,使其凝结为高压制冷剂液体。汽车空调系统冷凝器均采用风冷式结构,其冷凝原理是:让外界空气强制通过冷凝器的散热片,将高温的制冷剂蒸气的热量带走,使之成为液态制冷剂。制冷剂蒸气所放出的热量,被周围空气带走,排到大气中。汽车空调系统冷凝器的结构形式主要有管片式、管带式和鳝片式三种。(1)管带式它是由多孔扁管与S形散热带焊接而成,如图12所示。管带式冷凝器的散热效果比管片式冷凝器好一些(一般可高10%左右〉,但工艺复杂,焊接难度大,且材料要求高。一般用在小型汽车的制冷装置上。(2)鳝片式它是在扁平的多通管道表面直接锐出鳝片状散热片,然后装配成冷凝器,如图13所示。由于散热鳝片与管子为一个整体,因而不存在接触热阻,故散热性能好;另外,管、片之间无需复杂的焊接工艺,加工性好,节省材料,而且抗振性也特别好。所以,是目前较先进的汽车空调冷凝器。4.蒸发器也是一种热交换器,也称冷却器,是制冷循环中获得冷气的直接器件。其作用是将来自热力膨胀阀的低温、低压液态制冷剂在其管道中蒸发,使蒸发器和周围空气的温度降低。同时对空气起减湿作用。5.膨胀阀膨胀阀也称节流阀,是组成汽车空调制冷系统的主要部件,安装在蒸发器入口处,是汽车空调制冷系统的高压与低压的分界点。其功用是:把来自贮液干燥器的高压液态制冷剂节流减压,调节和控制进入蒸发器中的液态制冷剂量,使之适应制冷负荷的变化,同时可防止压缩机发生液击现象(即未蒸发的液态制冷剂进入压缩机后被压缩,极易引起压缩机阀片的损坏)和蒸发器出口蒸气异常过热。6.贮液干燥器贮液干燥器简称贮液器。安装在冷凝器和膨胀阀之间,如图20所示,其作用是临时贮存从冷凝器流出的液态制冷剂,以便制冷负荷变动和系统中有微漏时,能及时补充和调整供给热力膨胀阀的液态制冷剂量,以保证制冷剂流动的连续和稳定性。同时,可防止过多的液态制冷剂贮存在冷凝器里,使冷凝器的传热面积减少而使散热效率降低。而且,还可滤除制冷剂中的杂质,吸收制冷剂中的水分,以防止制冷系统管路脏堵和冰塞,保护设备部件不受侵蚀,从而保证制冷系统的正常工作。贮液器出口端旁边装有一只安全熔塞,也称易熔螺塞,它是制冷系统的一种安全保护装置。其中心有一轴向通孔,孔内装填有焊锡之类的易熔材料,这些易熔材料的熔点一般为85℃-95℃。7.孔管孔管是固定孔口节流装置。两端都装有滤网,以防止系统堵塞。和膨胀阀一样,孔管也装在系统高压侧,但是取消了贮液干燥器,因为孔管直接连通冷凝器出口和蒸发器进口。孔管不能改变制冷剂流量,液态制冷剂有可能流出蒸发器出口。因此,装有孔管的系统,必须同时在蒸发器出口和压缩机进口之间,安装一个积累器,实行气液分离,以防液击压缩机。孔管是一根细钢管,它装在一根塑料套管内。在塑料套管外环形槽内,装有密封圈。有的还有两个外环形槽,每槽各装一个密封圈。把塑料套管连同孔管都插入蒸发器进口管中,密封圈就是密封塑料套管外径和蒸发器进口管内径间的配合间隙用的。安装使用后,系统内的污染物集聚在密封圈后面,使堵塞情况更加恶化。就是这种系统内的污染物,堵塞了孔管及其滤网。这种孔管不能修,如需维护,只能清理滤网。坏了只有更换,孔管内孔的积垢,也不能清理。8.积累器用孔管代替膨胀阀时,汽车空调制冷系统要在低压侧安装积累器。积累器是一种特殊形式的贮液干燥器,用于回气管路中的气液分离,滤网设计有特殊要求,只许润滑油从中通过,而不允许液态制冷剂从中通过。使用孔管的汽车空调制冷系统,总是存在一种可能性:制冷剂离开蒸发器时,还是液体。为了防止液态制冷剂损坏压缩机,必须在蒸发器出口和压缩机进口之间设置积累器,以防止液态制冷剂通过。液态制冷剂在积累器中蒸发,然后以气态形式进入压缩机。9.风机汽车空调制冷系统采用的风机,大部分是靠电机带动的气体输送机械,它对空气进行较小的增压,以便将冷空气送到所需要的车室内,或将冷凝器四周的热空气吹到车外,因而风机在空调制冷系统中是十分重要的设备。风机按其气体流向与风机主轴的相互关系,可分为离心式风机和轴流式风机两种。10.电磁旁通阀电磁旁通阀多用于大、中型客车的独立式空调制冷系统,其作用是控制蒸发器的蒸发压力和蒸发温度,防止蒸发器因温度过低而结霜。电磁旁通阀一般安装在贮液干燥器与压缩机吸入阀之间。11.主轴油封主轴油封损坏,会引起雪种和润滑油泄漏。一般可以从有关的油迹来确定泄漏的地方。也可将压缩机拆下,浸入水中,以进出、口不没入水中为度。将排气口堵住,再从进气口加气压。从有关冒气泡的地方很容易确诊是不是主轴油封泄漏。(四)汽车空调系统分类(按动力源分)1.独立式空调:有专门的动力源(如第二台内燃机)驱动整个空调系统的运行。一般用于长途货运、高地板大中巴等车上。独立式空调由于需要两台发动机,燃油消耗高,同时造成较高的成本,并且其维修及维护十分困难,需要十分熟练的发动机维修人员,而且发动机配件不易获得,尤其是进口发动机;另外设计和安装更容易导致系统质量问题的发生,而额外的驱动发动机更增加了发生故障的概率。2.非独立式空调:直接利用汽车的行驶动力(发动机)来运转的空调系统。非独立式空调由主发动机带动压缩机运转,并由电磁离合器进行控制。接通电源时,离合器断开,压缩机停机,从而调节冷气的供给,达到控制车厢内温度的目的。其优点是结构简单、便于安装布置、噪音小。由于需要消耗主发动机10%-15%的动力,直接影响汽车的加速性能和爬坡能力。同时其制冷量受汽车行驶速度影响,如果汽车停止运行,其空调系统也停止运行。尽管如此,非独立式空调由于其较低的成本(相对独立式空调),已逐渐成为市场的主导产品。目前,绝大部分轿车、面包车、小巴都使用这种空调。(五)汽车自动空调系统汽车自动空调系统指的是根据设置在车内外的各种温度传感器的输出信号,由ECU中的微机进行平衡温度的演算,对进气转换风扇、送气转换风门、混合风门、水阀、加热继电器、压缩机和鼓风机等进行自动控制,按照乘客的要求,使车厢内的温度和温度等小气候保持在使人体感觉最舒适的状态。自动空调控制系统的传感器一般有车厢内温度传感器、车厢外温度传感器、蒸发器温度传感器、太阳能传感器、水温传感器等。其中水温传感器位于发动机出水口,它将冷却水温度反馈至ECU,当水温过高时ECU能够断开压缩机离合器而保护发动机,同时也使ECU依据水温控制冷却水通往加热芯的阀门。各个传感器将温度信息反馈到ECU,ECU通过“混合风档”的冷暖风比例而控制空气流的温度,例如当温度过低时ECU指令冷气流经加热芯升温,当温度过高时则增大冷气,当车厢内温度达到预定值时,ECU会发出指令停止“混合风档”伺服电动机运转。同时,ECU还通过“方式风档”伺服电动机控制气流流向,确定出风口的吹风角度。第三章汽车空调的检修一、汽车空调检修的基本工具1.修理空调器的常用工具(1)活板手(2)开口扳手(3)套筒扳手(4)内六角扳手(5)钢丝钳(6)尖嘴钳(7)十字螺丝刀(8)一字螺丝刀(9)锉刀:圆(10)手弓钢锯(11)手枪钻(12)钻头(13)冲击钻(14)刀子(15)剪刀(16)锤子:铁锤、木锤、橡皮锤各1把(17)卡钳(18)小镜子(19)钢卷尺(20)酒精灯(21)温度计(22)电烙铁(23)万用表(24)低压测电笔2.维修用大设备(1)真空泵:一般选用排气量为2L/s,真空度达到5×10-4mmHg的真空泵;(2)气焊设备:氧气瓶、乙炔瓶、减压阀、乙炔单向阀及配套输气管及焊具共1套;(3)电焊设备:电焊机、输入和输出电缆线、焊把及2.5mm、3.5mm焊条共1套;(4)制冷器钢瓶:用来存放制冷剂,一般选用3kg~40kg不等,按实定;(5)定量加液器:可以准确地比空调器充注制冷剂1套;(6)台秤:以确保小钢瓶的充灌制冷剂不超过额定量,避免意外发生1台;(7)氮气瓶:存放氮气,可对空调器进行试压、检漏,以及对制冷系统进行冲洗1套及配套;(8)卤素检漏灯或电子卤素检漏仪:对制冷系统进行检漏1套;(9)兆欧表:测导线绝缘程度500V直流的1套;(10)数字温度表:1套测量空调器的进、出风温度;(11)功率表:测量空调器的输入功率1套;(12)可移动配电盘:供维修接临时电源用;3.维修专用工具(1)胀管器和扩口器:1套(2)割管刀:切割铜管1套(3)弯管器:滚轮式弯管器和弹簧管式弯管器各1套(4)修理阀:三通修理阀或复式修理阀1套(常用)(5)封口钳:将压缩机充气管封死,然后才可以焊封充气管1套(6)力矩扳手:空调配管之间的连接螺母一定要用相应的力矩扳手来坚固(7)电动空心钻:用以打墙孔(小孔径可用冲击钻)、钻头选用70mm、80mm两种规格二、汽车空调制冷系统检修的基本操作1.制冷系统工作压力的检测(1)将歧管压力计正确连接到制冷系统相应的检修阀上,如果手动阀,应使阀处于中位。(2)关闭歧管压力计上的两个手动阀。(3)用手拧紧歧管压力计上的高低压注入软管的联接螺母,让系统内侧的制冷剂将高低压注入软管内的空气排出,然后再将联接螺母拧紧。(4)起动发动机并使发动机转速保持在1000~1500r/min,然后打开空调A/C开关和鼓风机开关,设置到空调最大制冷状态,鼓风机高速运转,温度调节在最冷。(5)关闭车门、车窗和舱盖,发动机预热。(6)把温度计插进中间出风口并观察空气温度,在外界温度为270C时,运行5min后出风口温度应接近70C.(7)观察高低压侧压力,压缩机的吸气压力应为207pa~24kpa,排气压力应为1103~1633kpa。应注意,外界高温高湿将造成高温高压的条件。如果离合器工作,在离合器分离之前记录下数值。2.从制冷系统内放出制冷剂具体方法如下(1)关闭歧管压力计上的手动高低压阀,并将其高低压软管分别接在压缩机高低压检修阀上,将中间软管的自由端放在干净的软布上。(2)慢慢打开手动高压阀,让制冷剂从中间软布上排出,阀门不能开的太大,否则压缩机内的冷冻油会随制冷剂流出。(3)当压力表读数降到0.35Mpa以下时,再慢慢打开手动低压阀,使制冷剂从高低两侧流出。(4)观察压力表读数,随着压力的下降,逐渐打开手动高低压阀,直至低压表读数到零为止。3.制冷剂充注程序抽真空作业从高压侧充注200g液态制冷剂第四章总结随着我国汽车工业的高速发展,作为汽车技术现代化标志之一的汽车空调技术在我国蓬勃发展。汽车空调大大改善了乘坐环境,提高了成员的舒适性。近年来,各种完善的多功能型空调装置的应用,受到用户的普遍欢迎。但对于汽车空调维修人员来说将面临新的挑战!本论文对汽车空调的原理、结构以及必备的工具等知识做了一般性的介绍。重点对修理、维护做了详尽的介绍。这样做的原因,主要是考虑本论文所面对是汽车空调维修人员,并由此希望能帮助学习动手解决一般汽车空调故障的技能。第五章参考文献【1】冯玉琪《实用空调制冷设备维修大全》电子工业出版社1994【2】张蕾《汽车空调》机械工业出版社2007【3】夏云铧齐红《汽车空调应用与维修—从入门到精通》机械工业出版社

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。下面是我整理的汽车前照灯技术论文,希望你能从中得到感悟!

汽车前照灯检测技术探讨

摘要:汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。因此,为保障机动车运行安全,应对前照灯的有关性能进行严格检验。本文就汽车前照灯远近光检测技术进行了分析。

关键词:汽车;前照灯;检测

中图分类号:U46 文献标识码:A

前照灯是汽车在夜间或在能见度较低的条件下,为驾驶员提供行车道路照明的重要设备,也是驾驶员发出警示、进行联络的灯光信号装置。所以,前照灯必须有足够的发光强度和正确的照射方向。目前各大汽车检测站普遍采用先进的CCD成像技术和DSP图像处理相结合的方法进行汽车前照灯远近光的检测,从而达到汽车前照灯的自动跟踪光轴、发光强度、远光中心坐标、近光拐点坐标以及光轴偏角等特征参数的检测。

1 汽车前照灯远近光发光特点及作用

1.1 前照灯远光灯的发光特点

为了防止前照灯对司机和路人造成眩目,前照灯的灯具需要经过特别的设计,使灯具的发光性能达到一定的标准。所谓发光特性是指灯具发射可见光的光度(照射角度和发光强度)分布,其照射角度随方向而改变,常用发光强度分布曲线来表示。正常情况下,汽车前照灯远光发光特性,其光度分布如椭圆形状在上下方向和左右方向基本对称,越靠近中心点,照射度越大。

1.2 前照灯近光灯的发光特点

典型的前照灯近光的发光特性为非规则几何形状,具有明显的明暗截止线,在明暗截止线的左上方有一个比较暗的暗区,在明暗截止线的右下方有一个比较亮的亮区。其发光强度最强的区域在明暗截止线的右下方,光强最大的区域中心点,照度最大,并以这个中心点为中心,形成一定的等照度曲线。前照灯近光图可表示为图1,近光产生明显的明暗截止线,其水平部分在V-V′的左侧,右侧为与水平线向上15°的斜线或向上成45°的斜线。明暗线转折点处称为拐点。根据前照灯远近光的光形分布的特点,传统的前照灯远光检测技术以仪器检测为主,大多利用远光光斑图形的对称性,利用上下左右对称分布的光电池对光轴中心进行检测。而由于近光光斑图形的非对称性,无法使用测量远光的方法对近光进行单独检测,通常利用图像分析的办法来获取明暗截止线拐点的位置来测取远近光各个特征参数,为汽车驾驶员提供准确的数据。

汽车夜间行驶时,前照灯远光能照亮前100m处一定范围内高2m的物体,这样才能保证司机发现前方有障碍物时,及时采取制动或绕行措施,让停车距离在视距之内,确保行车安全。

2 汽车前照灯检测技术发展

汽车前照灯检测技术,从早期的屏幕观察检测,到后来的仪器检测,发展到现在用的CCD和数字图像处理(DSP)相结合的检测技术,都具备智能化、自动化检测技术水平。

2.1 屏幕法检测

简单的屏幕检测,就是在被测灯前方10m处垂挂一屏幕,在屏幕上按照标准要求画好光束照射位置点和线,把受检车辆的前照灯光打开,照射在屏幕上,用肉眼观察该光束的位置是否符合标准要求,可测近光和远光。这种方法的特点是设备简单,不需要软件处理系统,对场地和环境要求高、但效率较低,而且依赖人的主观判断的程度比较大,检测结果一致性较差,误差大。因此在大流量的检测线上,很少使用这种检测方法。

2.2 采用CCD感光检测技术

利用CCD摄像头的感光技术,将采集到的光信号转化为电信号的原理,并最终通过图像采集卡将模拟的电信号转化为数字信号,输出到计算机,由计算机数据处理系统进行处理,就可测出前照灯远光发光强度和近光偏移量。采用CCD对光检测技术,其检测精度完全可以满足国标±15′的要求。

2.3 数字图像处理DSP检测技术

这项新型的检测技术主要是把CCD摄像头采集到的模拟视频信号转化成数字视频信号,然后利用DSP(数字信号处理器)的数字视频采集卡及处理系统对数字视频信号根据需要进行数字运算和处理,以得到需要测量的参数。

从以上灯光检测技术的发展历程可以看出,随着电子技术和计算机技术的不断发展和普及,数字图像处理技术也得到了迅速的发展。到目前,各大汽车检测站用的较多的是利用CCD感光系统精确成像,采用DSP系统进行图像分析处理及电子控制技术,精确进行汽车前照灯远近光灯技术参数进行测试。DSP(Digital Signal Processing)数字信号处理具有速度快,集成度高,接口方便等特点。

3 CCD感光系统的测量原理

3.1 成像原理

利用几何光学中的物像对应关系,使远处的大范围光强分布成为较小的可测量实像,用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

根据GB7258-2004《机动车运行安全技术条件》中屏幕法的要求,前照灯利用几何光学中的物像对应关系,使远处(10m)屏幕上的大范围发光强度(光强)分布成为较小的可测量实像(1m处成像屏上),用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

前照灯可以认为是具有一定光强分布的面光源。前照灯在10m处光线会聚成像为AB。在光路中插人菲涅耳透镜组(假设等效为L)后,AB的光线实际会聚成实像为AB,如图2所示。

如果假设菲涅耳透镜的焦距为f,则有以下关系式:

选择合适比例的l和f彭阿以得到恰当的像,从而方便测量。

3.2 测量时的瞄准方式

空间角度的检测必须要获得2个点的位置,在光束偏角的测量中也不例外。在进行测之前,首先必须找到前照灯的位置或第一个光束参考点的位置。图3为瞄准前照灯方式的测量原理,这种测量方式是先利用CCD摄像头1找到前照灯的位置,然后用CCD摄像头2拍摄前照灯通过透镜成像后的光斑图像,分析其中的光轴位置(远光或近光),得到与零点相比的偏差,从而根据标定的数据得到实际前照灯的角度偏差值。

直接对准前照灯:

这种测量方式是先利用摄像头找到车灯的位置,然后拍摄成像后的光斑图像,分析其中的光轴位置(远光或近光),得到和零点相比的偏差,从而根据标定的数据得到实际的角度偏差值。

3.3 光强测量分析

由于在低照度下,CCD的输出电压与照度有良好的线性关系,这样CCD面元信号的数字量便可与外部光源照射到检测幕布上照度值联系起来了。根据测量时建立起来的关系数据库,根据空间采样后各像元的数字量即得出各点的光照强度。

3.4 角度测量分析

主要利用灯光(远光中心点、近光明暗截止线转角点)在屏幕上会有X的位移,经透镜成像后,在透镜像方焦平面上引起的成像点的位移X′可由CCD获得的数字化图像分析求出,进而推算出光轴偏转角度。利用远光照明的对称性,找到远光光斑的对称中心,然后在前照灯打开近光照明的条件下,模拟人眼的判断过程,对近光的拐点进行分析。同样的,在进行近光角度检测时,由于CCD图形具有分辨率高的优势,结合计算机技术,和光电池扫描的方法相比可以进行更为准确的拐点的搜寻。

结束语

综上所述,选用专业的图像处理芯片对前照灯近光光束配光图像进行分析处理,可准确确定近光光束明暗截止线转角和近光光束照射方向。

参考文献

[1]吴勇,邹颖.前照灯检测仪检测距离的探讨[J].汽车维护与修理,2005,12.

[2]赵彬.汽车前照灯检测过程中存在的问题及对策[J].无锡商业职业技术学院学报,2008,06.

点击下页还有更多>>>汽车前照灯技术论文

  • 索引序列
  • 汽车车灯论文参考文献目录
  • 汽车车灯控制系统论文参考文献
  • 汽车论文参考目录
  • 论文汽车尾灯的参考文献
  • 汽车灯光毕业论文参考文献
  • 返回顶部