• 回答数

    4

  • 浏览数

    204

:小小的菇凉
首页 > 学术期刊 > 同步器的毕业论文

4个回答 默认排序
  • 默认排序
  • 按时间排序

猪猪钕神

已采纳

答辩没过吧?还在学校耗着呢??同情。。。。

359 评论

足疗沙发厂家

1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去

171 评论

JoJo爱次肉

这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name: 恒精度频率计-- Target Devices: FPGA or CPLD-- Revision 0.01 - File Created-- Comments: clk--系统工作时钟,2MHz-------------reset--系统复位信号,高电平有效-------------Fx--为待测信号-------------FreqNx--为待测信号的计数值-------------FreqNs--为标准信号的计数值-------------Freq--为待测信号的频率------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;----------------------------------------------------------entity Cymometer is generic(clk_freq : integer := 2000000);--系统工作时钟频率 Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; Fx : in STD_LOGIC; ----待测信号 FreqNs : out natural; FreqNx : out natural); --Freq : out natural);end Cymometer;----------------------------------------------------------architecture Behavioral of Cymometer is---------------------------------------- signal start : STD_LOGIC;--此信号为高电平时计数器开始计数 signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号 signal CNTx : natural;--待测信号计数器 signal CNTs : natural;--标准信号计数器----------------------------------------begin--***************************************----产生一个门控信号,高电平有效 GateCtrl : process(clk) --------------------------- variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器 --------------------------- begin if rising_edge(clk) then if reset='1' then CNT0 := 0; else CNT0 := CNT0 + 1; end if; --------- if reset='1' then start <= '0'; elsif CNT0 < (clk_freq*3/4) then start <= '1'; else start <= '0'; end if; end if; end process GateCtrl;--***************************************----产生CTRL信号,由待测信号和门控信号产生的计数器启动信号 CtrlGen : process(Fx) begin if rising_edge(Fx) then if reset='1' then CTRL <= '0'; else CTRL <= start; end if; end if; end process CtrlGen;--***************************************----用两个计数器分别对标准信号clk和待测信号signal计数------------------------------------计数标准信号,CTRL高电平期间有效 CountS : process(clk) begin if rising_edge(clk) then if reset='1' then CNTs <= 0; elsif CTRL='1' then CNTs <= CNTs + 1; else CNTs <= 0; end if; end if; end process CountS;------------------------------------计数待测信号,CTRL高电平期间有效 CountX : process(Fx) begin if rising_edge(Fx) then if reset='1' then CNTx <= 0; elsif CTRL='1' then CNTx <= CNTx + 1; else CNTx <= 0; end if; end if; end process CountX;--***************************************----CTRL下降沿将技术结果和测量值输出 CountOut : process(CTRL) begin if falling_edge(CTRL) then if reset='1' then FreqNs <= 0; FreqNx <= 0;-- Freq <= 0; else FreqNs <= CNTs; FreqNx <= CNTx;-- Freq <= (clk_freq / CNTs * CNTx); end if; end if; end process CountOut;end Behavioral;下面是为上面的模块编写的测试平台,在Modelsim下仿真通过,因为数据量较大,建议不要使用Altera及ISE仿真。--------------------------------------------------------------------------------LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.all;USE ieee.numeric_std.ALL; ENTITY tb ISEND tb; ARCHITECTURE behavior OF tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cymometer PORT( clk : IN std_logic; reset : IN std_logic; Fx : IN std_logic; FreqNs : OUT natural; FreqNx : OUT natural; Freq : OUT natural ); END COMPONENT;--Inputs signal clk : std_logic := '0'; signal reset : std_logic := '1'; signal Fx : std_logic := '0'; --Outputs signal FreqNs : natural; signal FreqNx : natural;-- signal Freq : natural; -- Clock period definitions constant clk_period : time := 500ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cymometer PORT MAP ( clk => clk, reset => reset, Fx => Fx, FreqNs => FreqNs, FreqNx => FreqNx, -- Freq => Freq ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; --产生待测信号 Fx_process : process begin Fx <= '0'; wait for 2*clk_period; Fx <= '1'; wait for 2*clk_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for clk_period*10; reset <= '0'; -- insert stimulus here wait; end process;END;参考原理M/T测频法。

280 评论

容妆淡淡

可编程序控制器在机床数控系统中应用探讨1 引言 近年来,PLC在工业自动控制领域应用愈来愈广,它在控制性能、组机周期和硬件成本等方面所表现出的综合优势是其它工控产品难以比拟的。随着PLC技术的发展, 它在位置控制、过程控制、数据处理等方面的应用也越来越多。在机床的实际设计和生产过程中,为了提高数控机床加工的精度,对其定位控制装置的选择就显得尤为重要。永宏FBs系列PLC的NC定位功能较其它PLC更精准,且程序的设计和调试相当方便。本文提出的是如何应用永宏PLC的NC定位控制实现机床数控系统控制功能的方法来满足控制要求,在实际运行中是切实可行的。整机控制系统具有程序设计思路清晰、硬件电路简单实用、可靠性高、抗干扰能力强,具有良好的性能价格比等显著优点,其软硬件的设计思路可供工矿企业的相关数控机床设计改造借鉴。 2 数控机床组成结构及工作过程 本例数控机床由输入、输出装置、数控装置、可编程控制器、伺服系统、检测反馈装置和机床主机等组成,如图1所示。图1 数控机床组成机构图输入装置可将不同加工信息传递于计算机。在数控机床产生的初期,输入装置为穿孔纸带,现已趋于淘汰;目前,使用键盘、磁盘等,大大方便了信息输入工作。输出指输出内部工作参数(含机床正常、理想工作状态下的原始参数,故障诊断参数等),一般在机床刚工作状态需输出这些参数作记录保存,待工作一段时间后,再将输出与原始资料作比较、对照,可帮助判断机床工作是否维持正常。数控装置是数控机床的核心与主导,完成所有加工数据的处理、计算工作,最终实现数控机床各功能的指挥工作。它包含微计算机的电路,各种接口电路、CRT显示器等硬件及相应的软件。可编程控制器对主轴单元实现控制,将程序中的转速指令进行处理而控制主轴转速;管理刀库,进行自动刀具交换、选刀方式、刀具累计使用次数、刀具剩余寿命及刀具刃磨次数等管理;控制主轴正反转和停止、准停、切削液开关、卡盘夹紧松开、机械手取送刀等动作;还对机床外部开关(行程开关、压力开关、温控开关等)进行控制;对输出信号(刀库、机械手、回转工作台等)进行控制。检测反馈装置由检测元件和相应的电路组成,主要是检测速度和位移,并将信息反馈于数控装置,实现闭环控制以保证数控机床加工精度。数控机床的工作过程如图2所示。图2 数控机床的工作过程框图数控加工的准备过程较复杂,内容多,含对零件的结构认识、工艺分析、工艺方案的制订、加工程序编制、选用工装及使用方法等。机床的调整主要包括刀具命名、调入刀库、工件安装、对刀、测量刀位、机床各部位状态等多项工作内容。程序调试主要是对程序本身的逻辑问题及其设计合理性进行检查和调整。试切加工则是对零件加工设计方案进行动态下的考察,而整个过程均需在前一步实现后的结果评价后再作后一步工作。试切成功后方可对零件进行正式加工,并对加工后的零件进行结果检测。前三步工作均为待机时间,为提高工作效率,希望待机时间越短越好,越有利于机床合理使用。该项指标直接影响对机床利用率的评价(即机床实动率)。 3 机床数控系统需要解决的几个问题 机床是由机械和电气两部分组成,在设计总体方案时应从机电两方面来考虑机床各种功能的实施方案,数控机床的机械要求和数控系统的功能都很复杂,所以更应机电沟通,扬长避短。机床控制系统选件、装配、程序编制及操作都应该比较合理,精度和稳定性都必须满足使用要求。同时为便于调试和检修,各项操作均设手动功能,如手动各轴快慢移动、主轴高低速旋转、切削液及润滑开关等。PLC按照逻辑条件进行顺序动作或按照时序动作,另外还有与顺序、时序无关的按照逻辑关系进行联锁保护动作的控制,PLC发展成了取代继电器线路和进行顺序控制的主要产品,在机床的电气控制中应用也比较普遍。 在实际控制中如何既能提高定位速度,同时又能保证定位精度是一项需要认真考虑并切实加以解决的问题。精度是机床必须保证的一项性能指标。位置伺服控制系统的位置精度在很大程度上决定了数控机床的加工精度。因此位置精度是一个极为重要的指标。为了保证有足够的位置精度,一方面是正确选择系统中开环放大倍数的大小,另一方面是对位置检测元件提出精度的要求。因为在闭环控制系统中,对于检测元件本身的误差和被检测量的偏差是很难区分出来的,反馈检测元件的精度对系统的精度常常起着决定性的作用。高精度的控制系统必须有高精度的检测元件作为保证。当现场条件发生变化时,系统的某些控制参数必须能作相应的修改,为满足生产的连续性,要求对控制系统可变参数的修改应在线进行。尽管使用编程器可以方便快速地改变原设定参数,但编程器一般不能交现场操作人员使用;所以,应考虑开发其他简便有效的方法实现PLC的可变控制参数的在线修改。另外为了防止电压过高损坏PLC,电源输入端加上压敏电阻。为了防止过热, PLC不许安装在变压器等发热元件的正上方,变频器与PLC、伺服驱动器等保持一定距离。在元件间留有适当的空隙,以便散热,并且在配电箱上安装风扇降温。此外,为保证控制系统的安全与稳定运行,还应解决控制系统的安全保护问题,如系统的行程保护、故障元件的自动检测等。 4 永宏FBs系列PLC的NC机床定位伺服控制系统分析 数控机床是一种高精度、高效率的自动化设备,提高数控机床的可靠性就显得尤为重要。可靠度是评价可靠性的主要定量指标之一,其定义为:产品在规定条件下和规定时间内,完成规定功能的概率。对数控机床来说,这里的功能主要指数控机床的使用功能,例如数控机床的各种机能,伺服性能等。数控机床的功能部件对机床的功能扩展和性能的提升起着极为重要的作用,因此,它不同于一般配套件和附件的选用,不仅须与数控机床的整体结构谐和协调,融入整机系统具有最佳的匹配性能,而且还能很好地彰显出该数控机床的个性化特征。用于高速化的数控系统不能仅是提高数据处理能力,而是应具备热误差补偿单元以及能实现速度前瞻控制、位置环前馈控制和加减速平稳控制等先进控制技术的功能。所以必须选择稳定可靠的控制单元才能保证数控机床正常高效运行。 鉴于以上各项要求,笔者采用台湾永宏电机股份有限公司的FBs-44MN PLC作为该机床控制主单元,该型机具有较高的性价比,体积小,使用起来非常方便,接线简捷。其编程软件WinProladder有梯形图大师之称,易学易用且功能强大,编辑、监视、除错等操作非常顺手,按键、鼠标并用及在线即时指令功能查询与操作指引,使编辑、输入效率倍增。同时配以人机界面进行程序参数修改、设定以及运行状态显示监控,可编程设置人机界面的内容。该控制系统具有可靠性高,价格便宜,结构紧凑等特点,非常适合机床的控制要求,具体控制思路如图3所示。图3 采用永宏PLC FBs-44MN 的NC 机床定位电气控制系统图可编程逻辑控制器是该机床各项功能的逻辑控制中心,集成于数控系统中,主要是指控制软件的集成化,而PLC硬件则在规模较大的系统中往往采取分布式结构。由图3可以看出,系统控制中心采用永宏PLC FBs-44MN控制,并配以人机界面进行程序参数修改、设定,以及运行状态显示监控,可编程设置人机界面的内容。三轴均为全数字交流伺服系统,各轴伺服电机通过连轴器带动滚珠丝杠,以移动配有直线导轨的工作台和主轴铣头,其定位准确,速度快。主轴铣头由变频器控制,根据刀具及工件和进给量,来设置主轴合理的转速,并在程序中设定它的启动停止。各轴均设二端极限传感器和原点传感器,冷却和润滑也都有异常检测,在报警灯和人机界面处显示报警信息由光栅、感应同步器等位置检测装置测得的实际位置反馈信号,随时与给定值进行比较,将两者的差值放大和变换,驱动执行机构,以给定的速度向着消除偏差的方向运动,直到给定位置与反馈的实际位置的差值等于零为止。闭环进给系统在结构上比开环进给系统复杂,成本也高,对环境室温要求严。设计和调试都比开环系统难。但是可以获得比开环进给系统更高的精度,更快的速度,驱动功率更大的特性指标。早期使用一般电机作为定位控制,由于速度不快、或者精度要求不高,所以足够应对所需场合;当机械运转为了获取效率而将速度加快时,当产品质量、精度要求越来越高时,电机停止位置的控制就不是一般电机所能达到的了。解决这一问题的最佳方法是采用NC定位控制配合步进或伺服电机作定位控制。但在过去,由于它的价格很高,而限制了它使用的普遍性,近年来由于技术的发展及成本的降低,其价位已被用户所接受,使用数量也越来越多。为配合这一趋势,永宏PLC FBs系列将目前市面上专用的NC定位控制器功能整合在PLC内部SoC芯片内,除了免掉PLC与专用NC 定位控制器之间复杂的数据交换与连结程序外,更大幅降低整体成本,为用户提供一种价廉物美、简单方便的PLC整合NC定位控制的方案。永宏PLC FBs-44MN内部的SoC芯片含有多轴高速脉冲输出以及高速硬件计数器,并且提供简易使用和设计的定位程序编辑,对于这方面的应用,更是如虎添翼、如鱼得水、得心应手了。PLC结合伺服驱动器所构成的NC闭环回路控制系统中,PLC负责发送高速脉冲命令给伺服驱动器,除了装在伺服电机的位移检测信号直接反馈到伺服驱动器外,外加位移检测器装在传动机构之后,真正反映实际位移量,并将此信号反馈到PLC 内部的高速硬件计数器,这样就可作更精确的控制,并且可避免上述半闭环回路的缺点。永宏PLC FBs系列的定位功能将市面上专用NC定位控制器整合在PLC内,使PLC与NC控制器能共享相同的数据区,而不需要作两个系统之间的数据交换与同步控制等复杂的工作,但仍可用一般常用的NC 定位控制指令(例如DRV、SPD…等)。PLC控制4轴的定位工作,并可作多轴同动控制,除了提供点对点的定位速度控制,还提供了各轴间直线插补功能。当系统应用超过4轴时还可利用永宏PLC的CPU LINK功能达到更多的定位运动控制。数控机床对位置系统要求的伺服性能包括:定位速度和轮廓切削进给速度;定位精度和轮廓切削精度;精加工的表面粗糙度;在外界干扰下的稳定性。这些要求主要取决于伺服系统的静态、动态特性。对闭环系统来说,总希望系统有较高的动态精度,即当系统有一个较小的位置误差时,机床移动部件会迅速反应。在数控机床的加工中,伺服系统为了同时满足高速快移和单步点动,要求进给驱动具有足够宽的调速范围。 单步点动作为一种辅助工作方式常常在工作台的调整中使用。伺服系统最高速度的选择要考虑到机床的机械允许界限和实际加工要求,高速度固然能提高生产率,但对驱动要求也就更高。此外,从系统控制角度看也有一个检测与反馈的问题,尤其是在计算机控制系统中,必须考虑软件处理的时间是否足够。全闭环伺服系统是将位置检测元件置于被测坐标轴的终端移动部件上,以检测机械传动链中螺距误差、间隙及各种干扰所造成的传动误差,并进行反馈补偿控制,从而提高机床的位置控制精度。在全闭环伺服控制系统中,对位置检测元件和反馈元件的选择很关键。感应同步器具有精度高、重复性好、抗干扰能力强,耐油耐污及维护简单等优点,特别适合于高精度全闭环数控机床的工作场合。数控机床要求具备稳定性、快速性和准确性,而大型数控机床的机械传动装置转动惯量较大,固有频率低,要使其大大高于系统截止频率很困难,全闭环包括了该进给系统轴几乎所有不稳定的非线性因素,调整不当很容易使机床产生抖动现象。 因此数控机床全闭环伺服系统在保证快速性的基础上主要是解决机床进给运动的稳定性而获得比半闭环伺服系统高的位置精度。伺服电机的编码器将位移检测信号反馈到伺服驱动器,驱动器将输入信号的脉冲频率和脉冲数与回馈信号的频率和脉冲数,经内部的偏差计数器与频率转电压电路处理后,得到脉冲偏差值与转速误差值,这样使控制伺服电机实现高速、精密的速度与位置闭环回路处理系统。伺服电机的转速与输入信号的脉冲频率成正比,而电机的移动量则由脉冲数决定。图4是PLC控制下的伺服电机工作示意图。图4 数控机床伺服电机工作示意图5 相关程序设计与操作 PLC通过编程器输入程序,达到控制目的。由于PLC工作过程是循环,所以程序执行速度很快。另外软件故障检测设计在采用硬件设计的基础上采用软件检测外部行程开关状态,当行程开关失灵后,通过程序控制停止机床的运行,有效地减少了机床因元件失灵造成的事故。 图5是使用编程软件WinProladder编辑定位程序参数设定指令图,图6是具体操作加工程序图。图5 定位程序参数设定指令图图6 加工程序图6 结束语 我国是一个机床生产和应用大国,但数控技术的应用水平还不高,严重制约着我国制造业水平的提高。国际上的相关开发计划对我国的数控技术的发展提出了严峻的挑战,同时也带来了机遇。只有选择合适的PLC才能使定位达到预期的效果。永宏FBs系列PLC的NC定位功能在机床数控系统设计中占有重要的地位,该机床经过长期运行表明,整个系统设计合理,控制精度高,运行可靠,提高了生产的自动化水平,减小了操作人员的劳动强度。 由于采用了PLC控制,使电气部分的抗干扰能力增加,提高了机床的运行可靠性,因而增加了设备的柔性,提高了设备的使用效率。

187 评论

相关问答

  • 四足步行机器人毕业论文

    在国内外众多的科幻电影及小说中,常常有着抢眼表现的机器人,其多变能力及各种场景近乎全能的应用,让人为之神往。在现实社会中,我们也经常能刷到这样的视频,一只机器狗

    明天星期天 3人参与回答 2023-12-08
  • 锁环式惯性同步器毕业论文

    明白你这个意思啊,我都看不懂你说的是什么。

    Alice兔籽宝宝 2人参与回答 2023-12-09
  • 同步电机的建模毕业论文

    我也是学信息的,我有相关资料,呵~你提供一下QQ什么的,我迟些给你吧^_^

    owenwoohyuk 4人参与回答 2023-12-07
  • 关于计步器的毕业论文

    是真的,当然,不是全部护士。同别的行业一样,护士的收入也是千差万别,有多种因素影响。如,在不在编?本科专科?是不是三甲医院?公立医院私立医院?什么科室?医护工资

    king独秀 3人参与回答 2023-12-06
  • 同步器的毕业论文

    答辩没过吧?还在学校耗着呢??同情。。。。

    :小小的菇凉 4人参与回答 2023-12-06