• 回答数

    4

  • 浏览数

    118

文武大叔
首页 > 学术期刊 > 汽车尾灯控制电路毕业论文

4个回答 默认排序
  • 默认排序
  • 按时间排序

KP-bangbangbang

已采纳

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

186 评论

happyzhang123

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ieee.std_logic_1164.all;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use ieee.std_logic_1164.all使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ieee.std_logic_1164.all;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月2009.12.27library ieee;use ieee.std_logic_1164.all;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ieee.std_logic_1164.all;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

165 评论

我是蜜桃桃

文凭、学历、职称说了算,全盘引进。90年代低水平重复研究,相互封锁、互相敌对,从低端产品开始就保密、同类产品只要是不同厂家的,同功能的部件特意做成没有互换性,极大地消耗社会资源,损坏消费者的利益,人为制造麻烦,制造维修困难,一切障碍就是为了多赚钱、多钱。本人向国家、省、市科技馆,都提出提供创新展项,要对参观者完全公开全部设计、加工、装配、调整资料,公布原材料、器材、配件采购地点,允大学是干嘛的地方?无论多高的学历和职称,不会设计、制造教具,不会设计、制造教学仪器,不会维修仪器和设备;用你父母的钱进口教学仪器模仿了委托工厂仿制就是佼佼者;用你父母的钱请校外的人来维修设备、从校外采购配件;用你父母的钱请教学仪器生产企业提供教学实验讲义,将作者填上他们的名字就有教学突出成就奖;教你背诵的公式和外语,永远也比不上美国麻省理工学院在网上公开的教材内容。学生也不要埋怨学费贵,除了上面教师的原因,你们自己的基础实验、专业课就上的迷迷糊糊的,高额投资下的创新实验项目、挑战杯、科技竞赛、毕业论文、产学研、科技奖、商业开发,都见不得阳光,将真金白银变成了一堆堆的垃圾!!!!现在的大学生、研究生本身就没有信用!!!成天想着做“项目”,充其量就是下载别人的设计、翻阅外文资料、组织活动、制造气氛、做小生意等等,在校园内就是花钱、钱的!!!!!!!!!!!!!!允许参观者下载这些资料,大约是没有回扣给*****,所以就算本人愿意赠送,也无人理睬。sci对于大学生有何帮助?我们如何利用它?谢谢大家报告首长:应该是大写的英文字母SCI、EI。他们能帮助你们考研、赚钱、找工作、添加荣誉、为母校争光、使学校对你们增加投资。同时也败坏了学风、促使抄袭早就蔓延到绝大部分本科毕业论文、给社会和国家制造巨大潜在的社会危机、卖国无良教授专家社会精英绑架了政府、迫使政府社会个人注入巨额资金、抬高了学费和国家投入、大量采购教学科研仪器造成了设备空闲、完好率低、维修费用高、仪器设备淘汰极快、使用率低下、社会成本教学成本居高不下、教师取了高额的报酬、大量进口大型精密仪器试剂、无收益地消耗了大量的社会资源,并且形成了声势越来越浩大的恶性循环,其实质,就是敌对国家通过在中国的代理人—汉奸不法知识分子制造的类似星球大战对社会在冠冕堂皇光环下的腐蚀和破坏,是境外超级大国散布的理论病毒,危害国家安全!!!更高明的黑招数是国外敌对势力的代理人假惺惺地诱导我们将国力投入争取诺贝尔奖的空中楼阁,做那些荒诞不经的课题,还诱你就差那么一点点,再进口国外最先进的分析仪器设备、到发达国家那些顶级大学培训、入学,就能实现你们梦寐以求的最高境界。这是卖国贼制造的一场阴谋,妄想迷惑、破坏国家的乌托邦幻想;是挖掘黑洞和陷阱,是巨额消耗国力、通过各校重奖发表三大检索论文的知识分子来绑架zhengfu的蛊惑人心的宣传伎俩。现在科技论文可以代表国家的创新水平,即将获得诺贝尔奖。知识分子依靠国外的科技文献资料、进口设备和试剂编造的论文一经发表,可以提职称,有奖金到手,受益者都乐颠颠的,他们所吹嘘中国的各种论文已经神秘有加、诡谲不测、神乎其神、天花乱坠,是境外敌视中国的外部势力和他们伙同、豢养的中国国内投机分子、国内利益集团代言人、社会精英联手炮制的国家科技发展唯一方向,蛊惑人心,诱使中国政府走向破财的道路,都是圈套,诱惑中国大量购买先进仪器、出国留学和培训,挖空、亏空国库,败坏学分,加速腐败的黑招数。这就是毕业生找工作难、企业产品在国际上的竞争力差、只能做系统集成、在基础工业能力没有实质提高,依然落后于发达国家数十年、甚至连30年前的中国基础水平都不如、社会矛盾剧烈的根本原因。所以,对于中国有实质性意义的真招、实干,是用中国的资源,从基础制造出具有国际竞争力的产品,而且是优先国民消费。用巨额社会资源、进口设备、进口集成电路砸政绩为目的来引进人才,太危险了!!!要严格整肃!毫不留情!三大检索论文奖励不得超过千元,不能将国内生产的材料、器材转变成在国际上领先的商品,而依靠采购国外器件、试剂以系统集成方式拼凑的论文,是将真金白银变成垃圾,是帝国主义的圈套、理论病毒、极大地消耗国家资源,严重助长腐败,要挟政府,危害政权。因此,中国教育的出路不是泛泛的开放,现在已经太民主自由了,而是从问题俯拾皆是的基础做起,扎实才是根本之道。张鸣先生说:各种评审的指标体系,如核心期刊论文数量,国际SCI、EI论文数量,国家级课题数量,省部级课题数量,课题经费总量等等,实际上只是具有中国学术特色的自娱自乐。中国所谓的学术核心期刊,其学术品质,原本就是周知的,但是,在各个高校发疯追求论文数量的情况下,有某大学带头发明了硬性规定研究生发表核心期刊论文作为毕业前提条件的方法,人为拉高学校的论文发表数量,其他学校纷纷跟进,使这种本质上违法的行为,成为高校的新惯例。研究生做不出论文,就买,不仅买论文,而且买版面,各个学术期

144 评论

jerrystone

我像是会在这些的呢...?

168 评论

相关问答

  • 论文汽车尾灯的参考文献

    汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性

    南京1942 4人参与回答 2023-12-11
  • 路灯控制毕业论文

    联系求求一零一六四四二七九零

    xianla198501 3人参与回答 2023-12-11
  • 汽车灯光毕业论文

    我也在搞毕业论文我的经验是:在CNKI搜索,关键字写带你毕业论文的老师的名字,搜索方向为“作者”,在搜索结果中找你需要的论文吧!!!

    恩恩慧慧 3人参与回答 2023-12-08
  • 汽车车灯控制系统论文参考文献

    汽车大灯随动转向的工作原理:系统在工作开始时,接受来自悬挂装置的传感器信号以及 ABS系统的车速信号,可以判断汽车是静止不动还是处于恒速状态,汽车一旦启动,系统

    不一样@016 4人参与回答 2023-12-05
  • 毕业论文控制电路图

    声控灯是一种声控电子照明装置,由音频放大器、选频电路、延时开启电路和可控硅电路组成。它提供了一种操作简便、灵活、抗干扰能力强,控制灵敏的声控灯,它采用人嘴发出约

    养啥啥不活 3人参与回答 2023-12-11