• 回答数

    4

  • 浏览数

    351

华蓥山5
首页 > 学术论文 > 写电路方面的毕业论文的课题

4个回答 默认排序
  • 默认排序
  • 按时间排序

ABCDG,43668

已采纳

电子信息科学与技术专业本科毕业设计(论文)选题指南 一、电子信息科学与技术专业的学科领域 电子信息科学与技术专业属于电子信息科学类专业。电子信息科学类专业还包括:微电子学(071202);光信息科学与技术(071203)。 二、电子信息科学与技术专业的主要研究方向和培养目标 1、电子信息科学与技术专业的主要研究方向 (1) 电路与系统 (2) 计算机应用 2、电子信息科学与技术专业的培养目标 本专业培养具备电子信息科学与技术、计算机科学与技术的基本理论和基本知识,受到严格的科学实验训练和科学研究初步训练,能在电子信息科学与技术、计算机科学与技术及相关领域和行政部门从事科学研究、教学、科技开发、产品设计、生产技术或管理工作的电子信息科学与技术高级专门人才。 本专业学生主要学习电子信息科学与技术的基本理论和技术,受到科学实验与科学思维的训练,具有本学科及跨学科的应用研究与技术开发的基本能力。 毕业生应具备以下几方面的知识、能力和素质: (1) 掌握数学,物理等方面的基本理论和基本知识,; (2) 掌握电子信息科学与技术,计算机科学与技术等方面的基本理论,基本 知识和基本技能与方法; (3) 了解相近专业的一般原理和知识; (4) 熟悉国家电子信息产业政策及国内外有关知识产权的法律法规; (5) 了解电子信息科学与技术的理论前沿,应用前景和最新发展动态,以及 电子信息产业发展状况; (6) 掌握现代电路设计自动化技术。 (7) 掌握资料查询,文献检索及运用现代信息技术获取相关信息的基本方法;具有一定的技术设计,归纳、整理、分析实验结果,撰写论文,参与学术交流的能力; (8) 具备善于运用已有知识来学习挖掘新知识,能够将所学知识运用到实践活动中去和运用科学知识分析解决实际问题的能力; (9) 具有独立观察,分析问题,敢于标新立异,勇于置疑,具备开展科学创新活动的基本能力; (10) 善于自我设计、自我推销,协调和处理人际关系,能够及时掌握人才市场需求的信息,具有自主择业的能力。 三、毕业设计(论文)选题原则 本专业毕业论文(设计)题目的选择要遵循以下原则: 1、要结合所学专业 毕业论文主要用来衡量学生对所学知识的掌握程度,所以论文题目不能脱离所学的专业知识。有些学生工作与所学专业没有关系,而本人对所从事的工作有一定的探索或研究,毕业论文就写了这方面的内容。这只能算是工作总结,但不能算是一篇毕业论文。 工科学生学习的专业往往和他们从事的工作有教紧密的关系,他们有教丰富的实验经验和感性认识,经过几年的系统学习,可以学到相应的理论知识,使他们对自己的工作有一种新的认识,他们可以利用所学知识对原来的工作方式、工作程序、工作工具进行改进,以提高工作效率。 2、内容要新 工科论文除了具有理论性之外,更重要的是它的实践性和实际操作性。工科各学科发展非常之快,往往教科书刚进入课堂,内容就已经落后了。待学生毕业时,所学知识可能几近淘汰,所以学生选题要注意所用知识不能陈旧,要能跟上学科的发展。 3、题目要大小适当,难易适度 论文题目不宜过大,否则必然涉及的范围大广。学生处涉科研,普遍存在着知识面窄、理论功底不足的问题,再加上学生主要以业余学习为主,题目太大,势必讲得不深不透,乃至丢三落四,难以驾驭。因此,选题必须具体适中。 题目选择要难易适度。过难,自己不能胜任,最后可能半途而废,无法完成论文;太容易,则论文层次太低,不能很好地反映几年来的学习成绩和科研水平,同时自己也得不到锻炼。 选题最好能合乎个性兴趣爱好,如果自己对论题兴趣很高,就会有自发的热情和积极性,文章就容易写出新意来。 四、毕业设计(论文)选题 选题是决定毕业设计(论文)训练成败与质量好坏的关健之一。 1、电子信息科学与技术专业本科从选题的内容上可以分为理论型毕业设计(论文)和应用型毕业设计(论文)两大类。 2、从本科毕业设计(论文)课题的来源,也可以分为科研开发型和自确定型毕业设计(论文)两大类。 3、从电子信息科学与技术专业本科毕业设计(论文)所涉及的研究领域来看,又可以将其划分为如下一些领域: (1) 集成电路的测试与故障诊断 (2) 集成电路的设计与分析 (3) ARM的设计与应用 (4) 信号与信息处理 (5) 单片机应用系统开发 (6) 仪器、仪表的设计开发与改进 (7) 视频、音频信号处理技术 (8) 可编程器件、EDA技术 (9) 新型电源的开发与应用 (10) 各种电子电路的设计 (11) 微机接口电路的设计 (12) 电子电路的软件仿真技术 (13) 太赫兹电子技术 (14) 测试控制系统的设计与仿真 (15) 数据采集系统设计 (16) 虚拟仪器

124 评论

何时何处

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

167 评论

泰迪熊Teddy

基于CPLD/FPGA的汽车 信号灯控制器设计 基于单片机的多功能数字电子钟设计 这两个还可以,基于单片机是数字钟用来做毕业设计还是可以,楼主若想在个人能力上有更大提高,可以在此同时 尝试下做 不用单片机的数字钟,采用74系列的芯片搭载。

147 评论

bamanai119

基于CPLD/FPGA的VGA 图像显示控制器研究 GPRS无线通信终端的设计

273 评论

相关问答

  • 关于电竞方面的毕业论文选题

    非常多,前台、后台、店铺、物流,都可以

    beibeidesignwang 6人参与回答 2023-12-07
  • 关于路基方面的毕业论文

    路基工程论文 路基指的是按照路线位置和一定技术要求修筑的作为路面基础的带状构造物,是铁路和公路的基础,路基是用土或石料修筑而成的线形结构物。下面是关于路基工程论

    美多多lady 3人参与回答 2023-12-10
  • 关于道路方面的论文题目

    国家铁路建设近些年发展的十分迅猛,动车、高铁的快速建设、运营,对国家的经济建设和人们的生活质量的提高影响巨大。关于城市轨道交通的论文题目有哪些呢?下面我给大家带

    白骨精6699 3人参与回答 2023-12-07
  • 电气方面可以写的大数据毕业论文

    当涉及到电商专业的实习和论文时,以下是一些潜在的主题和方向: 希望我的建议能够对您有所帮助。祝您论文写作顺利!

    凉风正正 6人参与回答 2023-12-08
  • 有关公路方面的毕业论文

    浅谈高等级公路的养护与管理 池学丽 黑龙江科技信息

    点評狂魔 4人参与回答 2023-12-10