• 回答数

    4

  • 浏览数

    146

龙宝宝lovyle
首页 > 职称论文 > 电路毕业论文设计资料

4个回答 默认排序
  • 默认排序
  • 按时间排序

beetleleon

已采纳

可以给我发份吗?谢谢啦

84 评论

a2581810110

数控技术发展趋势——智能化数控系统 1 国内外数控系统发展概况 随着计算机技术的高速发展,传统的制造业开始了根本性变革,各工业发达国家投入巨资,对现代制造技术进行研究开发,提出了全新的制造模式。在现代制造系统中,数控技术是关键技术,它集微电子、计算机、信息处理、自动检测、自动控制等高新技术于一体,具有高精度、高效率、柔性自动化等特点,对制造业实现柔性自动化、集成化、智能化起着举足轻重的作用。目前,数控技术正在发生根本性变革,由专用型封闭式开环控制模式向通用型开放式实时动态全闭环控制模式发展。在集成化基础上,数控系统实现了超薄型、超小型化;在智能化基础上,综合了计算机、多媒体、模糊控制、神经网络等多学科技术,数控系统实现了高速、高精、高效控制,加工过程中可以自动修正、调节与补偿各项参数,实现了在线诊断和智能化故障处理;在网络化基础上,CAD/CAM与数控系统集成为一体,机床联网,实现了中央集中控制的群控加工。 长期以来,我国的数控系统为传统的封闭式体系结构,CNC只能作为非智能的机床运动控制器。加工过程变量根据经验以固定参数形式事先设定,加工程序在实际加工前用手工方式或通过CAD/CAM及自动编程系统进行编制。CAD/CAM和CNC之间没有反馈控制环节,整个制造过程中CNC只是一个封闭式的开环执行机构。在复杂环境以及多变条件下,加工过程中的刀具组合、工件材料、主轴转速、进给速率、刀具轨迹、切削深度、步长、加工余量等加工参数,无法在现场环境下根据外部干扰和随机因素实时动态调整,更无法通过反馈控制环节随机修正CAD/CAM中的设定量,因而影响CNC的工作效率和产品加工质量。由此可见,传统CNC系统的这种固定程序控制模式和封闭式体系结构,限制了CNC向多变量智能化控制发展,已不适应日益复杂的制造过程,因此,对数控技术实行变革势在必行。 2 数控技术发展趋势 性能发展方向 (1)高速高精高效化 速度、精度和效率是机械制造技术的关键性能指标。由于采用了高速CPU芯片、RISC芯片、多CPU控制系统以及带高分辨率绝对式检测元件的交流数字伺服系统,同时采取了改善机床动态、静态特性等有效措施,机床的高速高精高效化已大大提高。 (2)柔性化 包含两方面:数控系统本身的柔性,数控系统采用模块化设计,功能覆盖面大,可裁剪性强,便于满足不同用户的需求;群控系统的柔性,同一群控系统能依据不同生产流程的要求,使物料流和信息流自动进行动态调整,从而最大限度地发挥群控系统的效能。 (3)工艺复合性和多轴化 以减少工序、辅助时间为主要目的的复合加工,正朝着多轴、多系列控制功能方向发展。数控机床的工艺复合化是指工件在一台机床上一次装夹后,通过自动换刀、旋转主轴头或转台等各种措施,完成多工序、多表面的复合加工。数控技术轴,西门子880系统控制轴数可达24轴。 (4)实时智能化 早期的实时系统通常针对相对简单的理想环境,其作用是如何调度任务,以确保任务在规定期限内完成。而人工智能则试图用计算模型实现人类的各种智能行为。科学技术发展到今天,实时系统和人工智能相互结合,人工智能正向着具有实时响应的、更现实的领域发展,而实时系统也朝着具有智能行为的、更加复杂的应用发展,由此产生了实时智能控制这一新的领域。在数控技术领域,实时智能控制的研究和应用正沿着几个主要分支发展:自适应控制、模糊控制、神经网络控制、专家控制、学习控制、前馈控制等。例如在数控系统中配备编程专家系统、故障诊断专家系统、参数自动设定和刀具自动管理及补偿等自适应调节系统,在高速加工时的综合运动控制中引入提前预测和预算功能、动态前馈功能,在压力、温度、位置、速度控制等方面采用模糊控制,使数控系统的控制性能大大提高,从而达到最佳控制的目的。

111 评论

百度钱包丶

编码电子锁的设计与制作论文 随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开,提供了发展的空间。 电子锁是第三代计算机防盗报警器的核心组成部分,用于识别用户身份的合法性。它有不少优点。例如保密性强,防盗性能好可以不需要钥匙,只要记住开锁的密码和方法,便可开锁,即方便又可避免因丢失钥匙带来的烦恼和损失。如果密码泄露,主人可以比较方便地设置新的开锁密码,不会造成损失,此外,编码电子锁将电子门铃和防盗报警与电子锁合为一体,实现了一物多用。由于以上诸多优点,编码电子锁能够广泛地应用于超市、住家、办公单位等许多场所。 1 系统方案选择 本次设计中分析了两种方案,一种是中规模集成电路控制的方案,另一种是单片机控制的方案。两中方案各有各的优缺点,通过以下两个方案的比较选择设计了其中一个方案。 1.1 中规模集成电路控制 方案一:采用集成电路控制。 编码电子锁电路分为编码电路、控制电路、复位电路、解码电路、防盗报警电路、门铃电路。电子锁主要由输入元件、电路(包括电源)以及锁体三部分组成,后者包括电磁线圈、锁拴、弹簧和锁柜等。当电磁线圈中有一定的电流通过时,磁力吸动锁栓,锁便打开。用发光二极管代表电磁线圈,当发光二极管为亮状态时,代表电子锁被打开。每来1个输入时钟,编码电路的相应状态就向前前进一步。在这个操作过程中,如果按照规定的代码顺序按动编码按键,编码电路的输出就跟随这个代码的信息。正确输入编码按键的数字,控制电路通过整形供给编码电路时钟。一直按规定的编码顺序操作完,则解码电路驱动开锁电路把锁打开。在操作过程中,如果没有按照规定代码顺序按下数字键或按动了其他键,控制电路将驱动防盗报警电路产生报警信号。方案二:采用一种是用以at89s51为核心的单片机控制方案。利用单片机灵活的编程设计和丰富的io端口,及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。 电子密码的硬件以单片机AT89C51 为核心。AT89C51 是一种带4k 字节闪烁可编程、可擦除只读,存储器FPEROM(Falsh Programmable and ErasableRead Only Memory)的低电压、高性能CMOS 8 位微处理器。其外接12 个按钮组成的3×4 键盘,通过4511 和7406(或7407)等驱动电路与单片机相连,以实现密码等的显示功能;利用串行EαPROM 存储器AT93C46 实现密码有效的永久保存。电子密码锁由键盘输入的识别、4位LED的显示、密码的比较、修改、存储、AT93C46 的读取与写入、报警和开锁控制电平的输出。根据框图,结合硬件结构,可以将键盘输入的识别用来作为系统的监控程序(主程序),用显示程序来延时,不断查询键盘。如果有键按下,就得到相应的键值。结合当前系统所处的状态,调用不同的操作模块,实现相应的功能。而执行模块主要有数字输入模块、确定键模块、修改键模块及显示模块。 方案比较 设计本课题时构思了两种方案:方案一是用锁存器74LS74、74LS00、74LS20和555基集成块构成的数字逻辑电路控制;方案二是用以AT89C51为核心的单片机控制。考虑到编码电子锁制作成本低,设计要求少,易实现控制要求,而单片机方案原理的复杂,调试较为繁琐,本人对数字电路基础较熟悉,有利于研究该课题。所以采用了方案一。 因此对该课题的研究具有实际应用价值。 在指导老师、同学和实习单位同事的帮助下,我顺利地完成了毕业论文。使我从中掌握了查阅资料的方法和分析问题的能力。 毕业论文的顺利完成,离不开各位同学、同学和朋友的关心和帮助。在整个的毕业论文学写作中,各位老师、同学和朋友积极的帮助我和提供有利于论文写作及毕业设计的建议和意见,在他们的帮助下,论文得于不断的完善,最终帮助完成了整个毕业论文和设计。 感谢在大学期间所有传授我知识的老师,是你们的悉心教导使我有了良好的专业课知识,这也是论文得以完成的基础。

135 评论

天晴0608

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

300 评论

相关问答

  • 毕业论文设计资料一览表

    我们帮忙搞定的。

    山水平川 4人参与回答 2023-12-07
  • 商场电路设计毕业论文

    论文致谢词篇1本设计在xxx老师的悉心指导和严格要求下业已完成,从课题选择、方案论证到具体设计和调试,无不凝聚着#老师的心血和汗水,在四年的本科学习和生活期间,

    优优来来 3人参与回答 2023-12-08
  • 输电线路设计论文毕业论文

    电气工程及其自动化毕业设计(东北电力大学毕业论文) 2009 年 03 月 19 日 星期四 16:22 论文主要内容包括 1.摘要 2.英文翻译 3.原始资料

    吃遍全宇宙! 3人参与回答 2023-12-06
  • 漏电保护器电路设计毕业论文

    天下没有免费的午餐

    虫虫殿下 5人参与回答 2023-12-10
  • 室内电路设计毕业论文

    在我们平凡的日常里,接触并使用报告的人越来越多,其在写作上具有一定的窍门。相信许多人会觉得报告很难写吧,以下是我为大家整理的室内设计毕业论文开题报告,仅供参考,

    向土豆要努力 2人参与回答 2023-12-06