首页 > 学术期刊知识库 > 汽车机油灯报警相关毕业论文

汽车机油灯报警相关毕业论文

发布时间:

汽车机油灯报警相关毕业论文

利用尾气分析发动机的故障有一辆1995年生产的尼桑蓝鸟轿车,故障现象是冷车时挂挡后踩油门有轻微的冲击,怠速不良,做过许多检查和修理,始终不能解决问题。该车最初进厂修理是因为冲洗发动机后不能着车,拖进厂后检查发现点火系统进水,进行请洁干燥之后重新装复,车虽然着了,但是怠速有些不稳。经过检查发现高压线有漏电现象,分火头和分电器盖也有些烧蚀。征得用户同意后对上述部件进行了更换,发动机故障基本排除,但用户反映车不好用,冷车挂档后踩油门有轻微的冲击。虽然故障现象非常不明显,但用户执意要求检修,并声称如果问题不能解决,就要把前面的修理费用免掉。我接到这辆车时正是热车,由于一时不能验证故障现象,便先根据用户描述的情况进行分析,认为故障可能出在油路上。随后在热车状态下进行无负荷测试尾气,测试结果如下:怠速时HC为275ppm(标准值为220ppm),CO为%(标准值为%);高怠速时HC为120—150ppm,CO为%一%(该厂仅有一台两气废气分析仪)。测量气缸压力,各缸压力正常。进行气缸功率平衡测试,各缸工作都正常。进行断缸测试,各缸HC和CO值变化都一样。从上面的数据当中是否可以发现问题呢7当然可以。尽管两气尾气分析仪本身没有数据分析和混合比浓度测试的功能(一般四气尾气分析仪可以通过CO,、O2以及过量空气系数入直接看出混合比浓度),但通过数据可以看出,这辆车的尾气排放偏低,对于没有安装氧传感器和三元催化器的车辆来说是太低了。CO含量高一般是因为混合比偏浓,而CO含量太低的一个主要原因是混合比偏稀。根据这个思路,我将该车的尾气调高,将CO调到,HC调到200ppm。当车完全冷却后再次进行检测,尾气排放没有超标,原来的故障现象也彻底消失了。各系统故障的方法,其目的是对发动机的燃烧状况进行综合评价。尾气分析的主要内容有混合气空燃比、点火正时及催化转化器转化效率等,主要的分析参数有CO、HC、CO2,和O2等的含量,还有空燃比(A/F)或过量空气系数入。尾气分析的项目如表1所示。二、尾气分析的基本规则HC和O2的读数高,是由点火系统不良或混合气过稀失火引起的。当测试的CO、HC值高,而C02、02值低时,表明发动机工作混合气很浓。如果燃烧室中没有足够的氧气保证正常燃烧,通常情况下,CO2的读数和CO的读数相反。燃烧越完全,CO2的读数就越高,其最大值在%—%之间,此时CO的读数应该等于或接近于的读数是最有用的诊断数据之—,02的读数和其它3个读数一起,能帮助找出故障诊断的难点。通常,装有催化转化器的汽车,O2的读数应该是%—%,说明发动机燃烧很好,只有少量未燃烧的02通过气缸排出。如果02的读数小于%,则说明混合气太浓,不利于燃烧。如果02的读数超过2%,则说明混合气太稀。利用功率平衡试验(根据制造厂的使用说明)和四气尾气分析仪的读数,可以看出每个缸的工作状况。如果每个缸C0和C02的读数都下降,HC和C02的读数都上升,且上升和下降的量都一样,则证明每个缸都工作正常。如果只有一个缸的变化很小,其它缸都一样,则表明这个缸点火或燃烧不正常。一个调整好的闭环控制电控汽车的尾气排放中,HC的含量大约为55~100ppm,CO应低于%,O2为%~%,C02为%~%。汽车尾气测试值与系统故障的判断分析如表2所示。三、几种常见的气分析仪汽车尾气分析仪有两气、四气和五气等多种类型,下面分别进行介绍。两气尾气分析仪两气尾气分析仪是用来测量汽车尾气排放中C0和HC的体积分数的。但是,如果一辆车的排气管或尾气分析仪的测量管路有泄漏,那么所检测到的就是被外部空气稀释了的尾气,C0和HC的测量值将降低,自然就不能反映尾气的真实含量。目前国内所用的两气尾气分析仪大多都不具有检查自身泄漏的功能,因此即使用两气尾气分析仪测量车辆尾气,也不能真实地反映出发动机的故障来。2.四气尾气分析仪随着装有三元催化转化器和电子控制系统汽车的增多,汽车的排放标准也更加严格,因此需要更精确地测量尾气并诊断车辆排放超标的原因。四气尾气分析仪不仅具备两气尾气分析仪的所有功能,而且还能进行故障诊断和分析,它除了能测量C0和HC外,还能测量C02和02、发动机油温、转速等,以及计算过量空气系数入和空燃比A/F等。所以四气尾气分析仪不仅可作为环保检测仪器使用,作为发动机故障检测分析的诊断工具也非常有用。对于几种尾气的分析,前面我们已经做过阐述,在这里只对过星空气系数入进行简要的说明。过星空气系数入可以直观地告诉我们空燃比的情况,从理论上讲,混合气的过星空气系数入=1最为标准,但实际上不可能没有变化,所以一般情况下入被设计为—(有些车有具体说明),可以看成是理想的匹配。若入大于该值,说明空燃比过大,混合气过稀;若入小于该值,则为空燃比过小,混合气过浓。四气尾气分析仪还可提供发动机转速(RPM)和发动机温度(TEMP)参数,作为故障诊断时的参考数据o五气尾气分析仪当C0和HC降低时,可能会引起尾气中的N0x浓度升高,若要监测N0x的浓度,就得使用五气尾气分析仪。而且,N0x常常是在高温大负荷的情况下产生的,若没有底盘测功机,就只能靠路试去测量。四、几个应用实例一辆捷达轿车,装备ATK新2气门发动机,配有三元催化转换器。用户反映该车发动机工作不稳,测量尾气排放严重超标。捷达新2气门ATK发动机采用电子控制多点顺序燃油喷射管理系统,该系统是一个集喷油、点火、怠速、爆震、空调、自我诊断及陂行回家等功能于一体的闭环集中控制系统。根据该车故障现象,首先检查火花塞,发现火花塞间隙偏大,更换新件后,尾气排放情况略有好转,但未得到明显改善。连接故障诊断仪V.A.G1552对发动机电控系统进行检测,调出1个故障码(氧传感器)。按故障码的提示,检查氧传感器至发动机电脑的连接线束,未发现短路、断路情况,于是将氧传感器更换。随后试车,继续测量尾气,尾气排放指标依然偏高,但发动机电控系统已无故障显示。用燃油压力表测量喷射系统压力,发动机怠速时油压为250kPa,急加速时为300kPa;关闭点火开关10min后,系统保持压力为200kPa,以上各项数据均正常。接下来拆下喷油嘴进行超声波清洗,测量其电阻值为15Ω,也符合标准。连接压力机,观察喷油嘴雾化状态良好,检查喷油嘴连接线束,也无短路、断路情况。继续检查点火系统,用万用表测量点火线圈、高压线电阻均正常。将发动机恢复后试车,故障依旧。用V.A.G1552查寻故障存储,仍没有故障码出现。在读取测量数据时,观察到氧传感器信号电压在—之间变动,属正常;进气压力传感器的数据也符合标准。于是怀疑三元催化转换器有问题,将其更换后试车,尾气排放依然超标。检查配气相位,正时标记正确;怀疑汽油质量有问题,清洗油箱及管路并更换优质汽油后,情况丝毫不见好转。经仔细观察发现:如果起动发动机后怠速运转而不进行路试,尾气排放基本合格;路试约2km后尾气排放指标升高;若每次起动间隔时间超过30min,怠速测量基本合格。根据上述情况,决定更换发动机电脑,但将电脑更换了也无济于事。其它部分是否存在问题呢?于是抱着试试看的想法,拆下排气歧管进行检查,并与新的排气歧管进行比较,发现该车氧传感器的排气取样孔偏小。换上新的排气歧管进行尾气检测,各项指标显著降低。对该车进行路试,尾气排放依然合格。恢复该车所换的其它配件,继续试车,尾气排放始终未超标。由此可以断定,故障部位就在氧传感器排气取样孔。由于从气缸内排出的废气处于高速流动状态,行至氧传感器取样孔处时形成涡流,导致排出的废气不能及时在此处更新,使氧传感器不能准确地向发动机电脑反馈同步信号,造成发动机电脑不能根据实际工况对喷油脉宽进行正确修正,最终出现发动机工作异常,尾气排放严重超标的故障。有一个时期,曾有一批车出现过此类故障,都是由于进行尾气改造后,氧传感器取样孔打得不合适,导致氧传感器不能有效采集尾气,造成信号失准。一辆装备5S—FE发动机的丰田佳美轿车,发动机怠速不稳,经常熄火。该车采用TCCS发动机电子控制系统。首先调取故障代码,仪表板上的发动机故障指示灯显示为正常代码。用四气尾气分析仪进行检测,仪器显示的检测结果如表3所示。由检测结果可以看出:HC和02都较高,这是空燃比失衡的一个重要特征;C0值较低,而C02在峰值,这说明可燃混合气已充分燃烧,点火系统应该不会有什么问题;入值较高。综合分析表明,该发动机工作时的混合气偏稀,因此应从进气系统和供油系统着手进行故障检查。对车辆进行检测:真空管无漏气、错插现象;PCV阀密封良好,机油尺插口良好。起动发动机,将化油器清洗剂喷在进气管垫和EGR阀周围,发现随着转速上升,怠速逐渐稳定。取下EGR阀,发现针阀周围有少量积碳,EGR阀通道上有很多积碳,针阀不能落入阀座,致使进气歧管的混合气被废气稀释,从而怠速不稳,发动机容易熄火。对EGR阀进行彻底清洗,并换上新垫,起动发动机,一切恢复正常。再次用尾气分析仪进行检测,结果如表4所示,所有数据都在标准范围之内,故障排除。从这个故障诊断实例可以看出,在对有故障的车辆做完必要的常规检查之后,使用尾气分析仪可以很快发现故障的本质原因,缩小检修范围。一辆广东三星6510汽车,套装97款克菜斯勒道奇3.3L发动机,行驶里程为140000km。故障现象:挂档轻加油门至1200r/min时有时熄火,不熄火时怠速降至400—500r/min甚至更低;急加油门没有任何故障,熄火后起动容易。故障分析:试车过程中,没有明显的断油或断火的感觉,但总感觉进入的空气量不够用。经检查,怠速系统没有任何故障,怠速马达在其它修理厂进行过替换试验,没有问题;节气门体也进行过更换试验,没有问题;用额外补充进气量的办法(断开一个节气门体后面的真空管),同样没有解决任何问题。原地不挂档加油门试验,无论怎样试验均没有任何故障征兆,发动机转速从1200r/min到800r/min下降非常平稳。怀疑是进气压力传感器有故障,有可能缓加油门时不能很好地感知进气量,所以使用检测仪的数据流功能,对各个数据进行实时观察,没发现有错误的数据流,MAP数值正常。对供油系统和点火系统进行仔细检查和测量,均没有发现任何故障。到现在为止应该说仅是凭经验感觉一点故障线索,那就是感觉好像进气量太少。既然怀疑是因为进气量太少造成的故障,那么通过尾气检测一定可以发现一些线索,所以对尾气进行了测量,怠速时的检测结果如表5所示。通过测量结果我们可以发现,混合气偏稀(入大于),燃烧比较好 (CO2较高,接近于15%)。通过上面的分析,可以间接证明该车进气或者供油系统有故障。为了检验这一分析,将所有影响进气量或感知进气量的元件一一列出,采取逐步分析排除的办法确定故障元件。这些元件有:怠速马达、节气门体及其传感器、MAP传感器、EGR阀。前几种元件已经检验和试验过, 目前只剩下EGR阀没进行过检验。EGR排气再循环阀的功用是在发动机工作过程中,将一部分废气引到吸入的新鲜空气(或混合气)中返回气缸进行再循环,以减少N0x的排放量。因为N0x主要是在高温富氧条件下生成的,废气为惰性气体,在燃烧过程中吸收热量,这样将降低最高燃烧温度,也减少了N0x的生成量。但是过度的排气再循环会影响发动机的正常运行,特别是在怠速、低速小负荷及发动机冷态运行时,参与再循环的废气会明显降低发动机的性能。因此应根据工况及工作条件的变化,自动调整参与再循环的废气量。根据发动机结构不同,进入进气歧管的废气量一般控制在6%—13%之间。在EGR系统中,通过一个特殊的通道将排气歧管与进气歧管连通,在该通道上装有EGR阀,通过控制EGR阀的开度来控制参与再循环的废气量(如图1所示)。EGR阀开启或关闭是由阀上方真空气室的真空度来控制的,而真空度则由受ECU控制的EGR真空电磁阀控制。EGR电磁阀受ECU控制,ECU根据发动机转速、空气流量、进气管压力、温度等信号控制EGR电磁线圈通电时间的长短,以此来控制进入EGR阀真空气室上方的真空度,从而控制EGR阀的开度,改变参与再循环的废气量。装有背压修正阀的EGR排气再循环系统,在EGR(真空)电磁阀与EGR阀间的真空管路中装有一个背压修正阀,其功用是根据排气歧管中的背压附加控制月F气再循环。即当发动机在小负荷工况,排气背压低时,背压修正阀保持EGR阀处于关闭状态,不进行排气再循环;只有在发动机负荷增大,排气歧管背压增大时,背压修正阀才允许EGR阀打开,进行排气再循环。排气歧管的背压通过管路作用在背压修正阀的背压气室下方,当发动机处于小负荷工况,排气背压低时,在阀门弹簧的作用下气室膜片向下移动,使修正阀门关闭真空通道,此时EGR阀在其阀门弹簧作用下保持关闭,因而不进行排气再循环;当发动机负荷增大,排气歧管背压升高时,修正阀背压气室下方的背压升高,使膜片克服阀门弹簧弹力向上运动,将修正阀门打开,由EGR电磁阀控制的真空通过背压修正阀进入EGR阀上方真空气室,将EGR阀吸开,月F气再循环通道打开,废气进行再循环。EGR电磁阀受ECU控市IJ,ECU根据转速信号、进气压力信号、水温信号、空气流量信号等,通过控制EGR电磁阀的开度来控制进入EGR阀的真空度,从而控制EGR阀的开度,改变参与再循环的废气量。通过上面的EGR阀工作原理分析可知,EGR在怠速工况和小负荷情况下是不参与工作的,否则会有一部分尾气进入燃烧室,不但会降低燃烧室的温度,还会恶化燃烧环境,阻碍新鲜空气的进入。故障排除:更换EGR阀,故障彻底消失。一辆奥迪A6轿车,装备2.8LJV6电控发动机,怠速时有轻微抖动,并且加速迟缓。故障检查:检测点火波形基本正常,但稍有不稳。测量尾气,C0为0.3%一0.5%,HC为200一500ppm,且在此范围内波动。用V.A.G1552检测仪检查,无故障代码输出。用V人.G1552故障检测仪进行数据流检测,发动机电控系统运行参数正常。检测结果分析:根据对客户的询问和加速迟缓的症状,应考虑对喷油器进行清洗;C0值正常,HC值虽然符合排放污染物的限制标准,但该车装有氧传感器和催化转化器,其C0值应低于0.5%,HC应低于100 ppm,而检测结果表明该车HC值高于此,标准且有波动,从出厂标准考虑为不正常,因此考虑发动机可能有失火现象,应进一步检查点火系统是否有轻微断路或短路,特别是短路故障。故障检修:清洗喷油器,观察各缸喷油器的雾化状况和流星的均匀性,均良好。检查点火系统,发现有一个缸的高压线有轻微短路(漏电)现象,为此更换了高压线。因火花塞间隙偏大,也同时更换了。复检发动机抖动稍有改善,但未彻底消除;尾气检查HC值下降不大,并仍有波动,分析认为故障仍可能是失火所致。为了进一步诊断故障,分别在左、右两侧月F气歧管氧传感器旁边的尾气检测口(该口通常用一个螺栓密封)进行检测,结果发现:左侧气缸排出的尾气C0值在0.5%左右,HC值在125ppm左右(因在催化转化器前测量,其值会比在月F气民管测量值稍高),且波动极小;右侧气缸排出的尾气中C0值也在0.5%左右,但HC值却在125—250ppm之间,且时有波动。因此间题应在右侧气缸中。为此检查右侧气缸的高压线和火花塞,发现第2缸火花塞的3个电极中有一个间隙过小,调整后重新安装,故障完全消除,尾气检测值也符合出厂标准。目前,安装催化转化器的车型越来越多,测量尾气有时比较困难,在不能很好分析故障的时候,可以尽量在催化转化器前方测量,这样可能更真实地反映发动机的排放情况。同时,还应将催化转化器前、后的测量结果加以比较,以便判断催化转化器的转化效率是否正常。一辆奔驰S320轿车,发动机怠速不稳,抖动严重,但加速正常。故障检测:调取该车故障代码,显示为正常代码;用示波器测试点火二次波形,结果正常;对各缸气缸压力进行测试,均在标准范围之内;进气及真空系统不漏气;用四气尾气分析仪检测尾气,发现怠速时数据很不稳定,第1组数据如表6所示,4种气体的检测数值全都较高。再次测试,其数据如表7所示。检测结果分析:将上述检测结果进行对比分析发现,HC和Co总是同时升高或降低,C02时高时低,燃烧效率很不稳定,02不能充分参与反应,数值一直较高。从而可以判定为混合气的形成与燃烧环境十分恶劣。推测是喷油器堵塞,导致喷油器针阀与阀座配合不密封,各缸喷油器在应该喷油时不喷油或少喷油,而在不需喷油时却持续喷油,因而造成供油不正常,致使4种气体的检测数据极不稳定。故障检修:做喷油脉冲宽度试验,怠速时为3.5ms,在正常范围内。拆下各缸喷油器检查,果然每个喷油器都有不同程度的堵塞。经过彻底清洗,装复试车,一切恢复正常。从该故障的检修过程可以看出,在燃油系统的检查中,利用尾气分析仪可以省去一些检修环节,如油压的测试,燃油泵、油压调节器和燃油滤请装置的检测。换个角度来考虑,假如在应急修理中,在未做相关检查之前,就用尾气分析仪进行检测,也许在诊断一开始就能找到故障点。一辆奥迪100型轿车,装备2.6LV6电控发动机,运转时严重抖动,加速无力,排气管排出的气体气味呛人。故障检测:用V.A.G1552微机故障检测仪对发动机电控系统进行检测,存在故障代码,故障代码的含义是“右侧燃油自适应修正已达极限”。用V.A.G1552微机故障诊断仪对发动机电控系统进行数据流检测,发现左、右两侧的燃油修正因数相差过大,左侧为—3.8%—0%,而右侧为10%—12.9%。用发动机综合分析仪检查点火系统并进行气缸压力分析,发现第3缸点火波形的击穿电压较低,且该缸气缸压力偏低(气缸压力相差过大也会导致发动机抖动)。用尾气分析仪检测尾气,Co为0.9%—1.3%, 而HC高达2800—2900 PPmo检测结果分析:根据检测结果可认为右侧混合气过稀,控制电脑对右侧燃油系统进行连续加浓且已达到修正极限。为判断是否是由于右侧氧传感器的信号导致这种结果,先对左、右两侧的氧传感器信号及其对空燃比变化的反应、电控单元对氧传感器信号变化的响应能力进行测试。为此,人为地制造混合气过浓和过稀的状态,发现氧传感器和电控单元的功能均正常,因此可以认为故障是控制系统以外的原因导致的。根据上述检测结果,点火波形基本正常,可以认为点火系统正常,但HC过高表示失火,因此可以认为这种失火很可能是由于混合气过稀,超出着火界限所致。但从尾气中的Co值看,实际混合气并不过稀,因此判断故障很可能是进气系统漏气所致。测量气缸压力,发现第3缸压力比其它缸低约100kPao故障检修:在拆解进气歧管时,发现进气歧管垫的实际压合面宽度只有1mm左右(至少应有4—5mm),其原因是进气歧管的安装面为v形,在安装密封垫后,再安装进气歧管时,由于不小心使该垫下滑,从而减小了密封带,导致严重漏气,即使燃油修正已达到极限,但仍无法完全补偿,这是机械原因导致的故障。将上述故障点彻底排除后试车,故障排除。一辆上海别克G轿车,故障症状是发动机排气冒黑烟。诊断与排除:大修发动机后试车,开始时一切正常,只是排气管接口垫有些轻微漏气。继续试车发现,发动机热车后出现怠速不稳、加速不畅现象,同时故障灯点亮报警。经检查,显示故障码为四131,即氧传感器故障。发动机热车运转时就车测量(不拔下括头),氧传感器电压为0.28V且不变化,更换一个氧传感器后,发动机刚着车时还好,但运转一会儿后故障重现,怠速不稳,排气管冒黑烟。拆下火花塞检查,发现已有积碳,更换一组新火花塞后,运转约半小时,怠速又不稳,检查火花塞又被积碳糊死。此时故障灯再次点亮,经检查显示故障码P0171,即混合气太稀。因更换氧传感器后故障不但没有好转反而加重,所以修理工认为故障不在氧传感器。经测量,油压正常,又检查、试换7空气流星、水温、节气门位置等传感器,故障始终未能排除,于是回过头来再检查新换的氧传感器。经就车测量,氧传感器电压为0.18V左右,与用检测仪查到的数据相同,证明检测仪可以完全接收到氧传感器电压。断开氧传感器括头,测量PCM端接线,电压只有0.32V(理论值为0.45V),于是怀疑电路有故障或PCM损坏。用尾气分析仪检查尾气,发现在怠速时C0含量接近4%,HC达到300ppm左右。通过尾气分析可以认为此时的混合气不是太浓。就车测量氧传感器,电压仍旧很低(这种现象又可以解释为混合气过稀)。断开氧传感器括头,用数字万用表测量PCM端电压为0.44V,说明线路及PCM基本情况正常。为什么会出现浓、稀两种截然不同的解释呢7难道是新换的氧传感器有故障7于是,使用模拟器模拟氧传感器数值的功能。将模拟器的绿色氧传感器专用线和黑色连线连接在车上氧传感器的输出回路上;将中间功能选择开关置于Knock/0xy位置;将右侧功能选择开关置于VoHs/0xy位置;使发动机起动运转,然后打开SST皿,此时SST皿4寄产生一个0.15V的恒定的连续信号来模拟稀混合气状态下的氧传感器发出的信号;按下模拟器上方的“0(y”键,模拟器将产生一个0.85V的恒定的连续信号来模拟浓混合气状态下的氧传感器发出的信号;在使用模拟器模拟7氧传感器后,再用检测仪读取数据流,发现氧传感器的输入信号也一同变化;当模拟器的电压较长时间为0.85V时,观察尾气的C0值降为0.65%,说明PCM对系统的控制完好,故障原因还是在氧传感器。将氧传感器安装到其它车辆上进行试验,没有发现任何故障,数据流、燃烧、尾气、行驶都很正常。通过上面的试验可以证明:系统几乎没有故障,问题的原因在于氧传感器信号。因为此车有漏气现象,会不会是因为排气包漏气,导致排气包中形成负压,将外界的真空引进排气系统当中了呢7经检查ldF气系统确有漏气之处,将排气管修好之后试车,故障排除。

没有范文哦,我们只有原创的,如果需要就看我名联系我吧

发动机自动熄火的诊断分析发动机自动熄火的诊断分析摘要: 现代的轿车发动机大多是电子控制燃油喷射型的汽油发动机,自动熄火的原因很多,首先要分析自动熄火的症状。汽车发动机经过长期的使用后或者人为的原因导致发动机自动熄火,那是什么原因导致发动机自动熄火呢?那就要我们带着问题来探研问题的所在,从中认我们知道发动机为什么自动熄火,这样我们才可以以后避免发动机自动熄火后带给我们的麻烦,防范于未然。关键词: 发动机 自动熄火 诊断分析 检测 维修 熄火故障原因绪论在汽车技术日新月异的今天,电脑控制技术已经应用到汽车的各个系统,各种新结构、新技术的不断涌现,使汽车维修人员面临着更加大的挑战。现代汽车维修技术的特征表现为“七分诊断,三分修理” ,发动机常见故障现象、故障原因、诊断方法和思路、诊断与排除等发生了很大的改观,因此,我通过长时间的在校学习,并参考了大量的维修资料写下了该文。一 发动机的概述发动机的简介发动机机体是构成发动机的骨架,是发动机各机构和各系统的安装基础,其内、外安装着发动机的所有主要零件和附件,承受各种载荷。因此,机体必须要有足够的强度和刚度。机体组主要由气缸体、曲轴箱、气缸盖和气缸垫等零件组成。发动机的工作原理(配图)发动机是一种能量转换机构,它将燃料燃烧产生的热能转变成机械能。要完成这个能转换必须经过进气,把可燃混合气(或新鲜空气)引入气缸;然后将进入气缸的可燃混合气(或新鲜空气)压缩,压缩接近终点时点燃可燃混合气(或将柴油高压喷入气缸内形成可燃混合气并引燃);可燃混合气着火燃烧,膨胀推动活塞下行实现对外作功;最后排出燃烧后的废气。即进气、压缩、作功、排气四个过程。把这四个过程叫做发动机的一个工作循环,工作循环不断地重复,就实现了能量转换,使发动机能够连续运转。把完成一个工作循环,曲轴转两圈(720°),活塞上下往复运动四次,称为四行程发动机。而把完成一个工作循环,曲轴转一圈(360°),活塞上下往复运动两次,称为二行程发动机。常见发动机的结构(图)发动机的结构主要由以下的两大机构和五大系统组成。曲柄连杆机构:包括活塞、连杆、曲轴、飞轮、活塞环及活塞销等;配气机构: 包括凸轮轴、进排气门、正时齿轮、气门弹簧及气门座等部份;燃油供给系:包括汽油箱、汽油泵、汽油滤清器、燃油喷射系统、空气滤清器、进排气管及消声器等部份;冷却系:包括水泵、散热器、风扇、节温器及水管等部份;润滑系:包括机油泵、机油滤清器、机油集滤器及油道等部份;点火系:包括蓄电池、发电机、点火线圈、火花塞及高压线等部份;起动系:包括起动机及其附属装置。其中气缸盖、气缸体、进气歧管由铝合金制成,而气缸套及凸轮轴则由铸铁制成;并采用平衡轴的方式平平衡因曲柄连杆机构产生的旋转惯性力和往复惯性力,以降低发动机的振动。二 发动机的检修发动机的拆卸(步骤)拆下蓄电池的负极接线,把发动机室机盖提起到垂直位置,再卸下空气滤清器。放掉冷却液,然后拆下散热器。对装有空调的发动机,卸下空调压缩机的动皮带,然后拆下压缩机,并在不拆软管的情况下把它移到一边。松开动力泵储液罐的注液盖,然后用注射器抽净罐中的液压油,再拧上储液罐盖。拆下油门拉线,拆下液压制动助力器的固定螺栓或在进气歧管上的固定螺母,撒下安装接头用的两个密封垫圈。从缸盖后面的支架上松开真空助力器软管。拆下水泵上的散热器上软管和节温器壳上的储液罐软管。拆下水泵出水口右侧的暖风水箱软管和缸盖后面的左侧的软管。对装有液压气动悬架的车辆,从缸盖的右侧卸开液压泵。拆下燃油分配器和燃油压力调节器上的软管,然后用干净的抹布在装配螺栓处堵住油管以防燃油外泄。拆除全部影响发动机拆卸的导线和软管以及与此有关的例如冷启动阀、电磁压力调节器、空气流量传感器、节气门壳、辅助空气装置、冷却液温度传感器和缸盖温度开关、油底壳油位传感器、交流发电机、起动机和点火线圈等零部件、元器件和总成。拆下点火系统电子开关装置的两个电气连接器。然后拆下诊断插座与翼子板的固定螺栓,从插座的后面拆下电气导线连接器。拆下进气歧管上的机油滤清器导线护罩支撑与安装支架的固定螺栓。从各个连接件和电缆夹上松开导线和电缆并把拆下的导线和电缆与发动机分离开来。提升车辆并把它可靠地支承在支撑台架上。对装有发动机下托架的车辆,卸下前支撑、螺栓、后凸缘螺母和螺栓,然后拆下下托架。对于早期的车辆,松开座架并拆下发动机前减震垫。拆下凸缘螺母或螺栓,然后把排气管与歧管分离开来。松开软管夹,拆下螺母以松开发动机右侧连接件上的动力转向软管,并用干净抹布堵住软管和金属管。拆下发动机搭铁线的固定螺栓和螺母,然后取下搭铁线。拆卸下传动轴,拆下发动机支架与托架的固定螺栓。用提升装置把发动机连同变速器一起从发动机室中提。发动机的安装发动机组装程序与要求如下:(步骤)在组装发动机时要全部使用新垫和新油封,并且保证全部零件都涂有适量的机油以及在缸筒中和曲轴箱内不残留金属多余物。在安装活塞与连杆组件时,要翻转缸体使之右侧面朝上,然后把连杆伸进缸筒中,再用活塞环夹紧器夹紧活塞环并把活塞引进到缸筒中,再用木锤把或类似的硬木棒把活塞与连杆组件顶到位。用规定的力矩拧紧连杆轴承盖螺母和主轴承盖螺栓,然后用手转动曲轴以确定其转动阻力适度。对于拉伸螺栓的连杆,不要使用扭力扳手拧紧,而要用转角器拧紧,而且要确保拉伸段的直径大于、被连杆轴承盖挡住部分的直径应不小于。出于标准化上的原因,对于全部连接用螺栓相对于转角器的拧紧转角为90°+10°,也就是在以··m的扭矩拧紧后再拧转90°;请注意对于190E款型,在第三个主轴承盖处装有曲轴止推垫。此止推垫的两个凸耳放在主轴盖的凹槽中以防止其转动,在安装时应使止推垫带有槽的一面面向曲轴的止推面。分解机油泵并检查齿轮的齿隙,然后检查泵盖安装面的翘曲量,若超过规定,则用机械加工的方式使其平整,若泵盖的内表面磨损严重,则予以更换。安装上机油泵。再安装上油底壳、下曲轴箱,并按规定的力矩拧紧固定螺栓,然后把缸体的上表面转动向上,装上缸垫和缸盖,按规定顺序和力矩拧紧缸盖固定螺栓。安装上气门室盖,并按规定的力矩拧紧固定螺栓,最后把余下的全部零部件安装到发动机上。利用吊装设备把发动机装入发动机室中。2.3发动机的磨合发动机总成装配后,一般要求经过冷磨合与热试后才能投入使用,通过冷磨与热试对提高零件配合质量,保证正确的间隙(如气门间隙和准确的正时),从而提高发动机的动力性,经济性,工作可靠性和使用寿命. 发动机的冷磨合发动机的冷磨合是指以发动机或其他动力带动发动机运转磨合的过程.其功用是使相对配合的零件之间进行自然磨合.由于冷磨合后,还必须对发动机进行拆检与清洗,所以冷磨时可不安装燃油供给系统和点火系统各附件,如果已安装上,则应拆下汽油机活塞,以减小冷磨合汽缸内的压力,减小发动机零件的机械负荷. 发动机的热试将装配好的发动机,以其本身产生的动力进行运转试验的过程,热试可将发动机安装到车上后进行.热试时,发动机工作温度达到正常后,应使发动机在不同的转速下运转.此外,还应该检查有无漏水,气及油现象,检查调整气门间隙,点火正时,怠速转速等,观察电流表,冷却液温度表,机油压力表指示灯是否正常,听该发动机工作是否有异响,检查发动机汽缸是否符合规定标准,热试的时间为小时。三 发动机自动熄火的故障维修故障现象故障现象 发动机运转或汽车行驶过程中自动熄火,而再起动并没有多大困难的现象。常见故障原因进气管路真空泄漏;怠速调整不当、节气们体过脏、怠速系统控制不良等造成的怠速不稳;燃油压力不稳定,例如电动燃油泵电刷过度磨损或接触不良,或燃油泵滤网堵塞等;废气再循环阀门阻塞或底部泄漏;燃油泵电路、喷油器驱动电路等电路有接触不良等故障;燃油泵继电器、EFI继电器、点火继电器不良等;点火系工作不良。例如高压火弱,火花塞使用时间过久,点火正时不对,点火线圈接触不良或热态时存在匝路导致没有高压火花或高压火花弱,低压线路接触不良,绝缘胶损坏间歇搭铁等;节气门位置传感器不良;空气流量计或进气压力传感器有故障;冷却液温度传感器、氧传感器有故障;曲轴位置传感器有故障,如无转速信号(插头末插好、曲轴位置传感器信号线断、传感器定位螺钉松动、间隙失调、传感器损坏等);曲轴位置传感器信号齿圈断齿,会引起加速时熄火,曲轴位置传感器内电子元件温度稳定性能差,会导致信号不正常,会引发间歇性熄火故障;ECU有故障。故障诊断的一般步骤(步骤次序)先进行故障自诊断,检查有无故障码出现。如有,则按所显示的故障码查找故障原因。要特别注意会影响点火、喷油、怠速、配气相位变化的传感器和执行器(如发动机转速及曲轴位置传感器、凸轮轴位置传感器、冷却液温度传感器、节气门位置传感器、怠速控制阀等)有无故障。如发动机自动熄火发生在怠速工况,且熄火后可立即起动可按怠速不稳易熄火进行检查。采用故障模拟征兆法振动熔丝盒,各线束接头,看故障能否出现。然后进一步检查各线事业接头有无接触不良,各搭铁线有无搭救铁不良,目视检查线事业绝缘层有无损坏和间歇搭铁现象。采用故障模拟征兆法改变ECU、点火器等工作环境温度,重现故障,进而诊断故障原因。试更换点火线圈、火花塞等。在不断试车过程中,有多通道示波器同时监测发动机转速及曲轴位置传感器、空气流量计、电脑的5V参考电压等信号。如果在熄火前有喘振、加速不良的现象再慢慢熄火的话,故障可能发生在供油不畅上。可接上燃油压力表,最好能将压力表用透明胶固定于前挡风玻璃上,再试车确定。如存在熄火时油压力过低的现象,则应检查油箱、电动燃油泵、燃油滤清器、油压调节器及燃油泵控制电路。试车时接上专用诊断仪,读取故障出现前后的数据,进行对比分析,从而找出故障。按故障逐个检查排除。故障诊断的相关要点(分点讲出来)在对电控系统引出的故障诊断时,千万不要忘记先进行基本检查。例如:在试图诊断电控单元控制的燃油喷射系统故障之前,一定要确保进气管路无泄漏,配气正时、点火正时。如果存在这些不良现象,发动机的抗负荷交变能力就差,在工作状况突变的情况下可能熄火,如加速熄火、制动熄火、开空调熄火、挂档熄火等。有些汽车的间歇性故障是难于诊断的,除非是检查汽车时正好显示故障。因此,当进行诊断测试时,故障症状不出现,故障就难以诊断。解决方法是放车到维修站,由技师驾车在可能出现出问题的状态下行驶,直到故障出现。这种方法就不凑巧了,因为这样故障短时间不出现,就得无休止地驾车。还在一种方法就是故障出现就打电话给维修站,这一方法对长时间熄火无法起动很受用。一般就来这种现象只会越来越严重,如一时无法确诊,也可待故障明显后再作检查。检查不定时的怠速熄火故障时,有时换火花塞是必要的。当怀疑空气流量计不良(如空气流量计热线过脏;内部电路连接焊点脱落、接触不良等)时,可用示波器检查空气流量计信号电压波形。当怀疑进气压力传感器不良时,应先检查传感器真空胶管,看是否破裂,弯折,是否有时漏气,有时不漏气,使进气压力传感器信号时而正常,时而不正常,造成发动机收加速踏板时熄火。还应检查对喷油量影响较大的传感器。冷却液温度传感器不仅对喷油量有影响,也对修正点火提前角的信号之一,应要重视。有时某些车型的氧传感器信号电压无变化,容易造成发动机加速时熄火。如果在较高速行驶中先出现加速不良而造成的熄火,要重点检查油路;如果较高速过程中突然熄火则重点检查电路方面,高压火花是否过弱是必要检查项目之一。突然熄火、间歇熄火还应该对控制点火的主要传感器发动机转速用曲轴位置传感器进行检查。故障模拟试验方法。在故障诊断中最困难的情形是有故障,但没有明显的故障征兆。在这种情况下必须进行彻底的故障分析,然后模拟与用户车辆出现故障时相同的条件和环境,进行就车诊断。这样有助于故障处理。四 故障实例道奇车自动熄火故障故障现象一辆三星道奇乘用车,在行使了一段路程后其发动机突然自动熄火,再起动时发动机不能着火,但过了大约15min后起到发动机时又能正常起到,且怠速平稳,加速性能良好。故障分析在冷机状态下测量燃油系统压力,压力正常;在发动机自动熄火后测量燃油系统压力,该系统的压力明显低于正常值;进一步检查时发现在冷机时燃油泵输出的燃油压力正常,在热机时燃油泵输出的燃油压力偏低,因此燃油泵本身油问题。排除方法更换该燃油泵。康明斯发动机自动熄火故障Cummins康明斯发动机-自动熄火-的故障原因分析与处理方法1:燃油用完或燃油关断阀切断油路处理:检查燃油关断阀,看它是否开启。如系关闭,应予打开。检查油箱中有否燃油。如果油箱无油,则加油原因。2:燃油质量低劣处理:检查更换燃油原因。3:燃油输油管道漏气处理:检查连接件有无松动,管道有无破裂,滤清器是否未上紧等,并一一校正原因。4:内输油路或外输油路漏油处理:对所有滤清器、密封垫、管道和连接件作外油路漏油检查。用加压办法作内油路漏油检查。修理或更换原因。5:燃油泵驱动轴断裂处理:检查齿轮泵驱动轴是否断裂。重新调校或更换原因。6:节气门传动杆调整不当或磨损处理:检查磨损情况,更换并调整传动杆原因。7:怠速弹簧装配不对处理:重新装配调整原因。8:限速器离心锤装配不当处理:重新调校原因。9:燃油中有水分或蜡质处理:更换燃油,更换所有滤清器,装设燃油加热器原因。10:燃油泵校准不正确处理:重新调校燃油泵原因。11:密封垫漏气处理:进行压力检查,找出漏气的气缸,更换并修理。奔驰轿车自动熄火故障故障现象一款1996年产奔驰豪华型W140 S320轿车。该车在行驶中突然熄火,再次着车,ABS、ASR、驻车制动报警灯和制动蹄片报警灯都同时点亮,并且着车几分钟后,车辆再次熄火。故障原因及分析接车后,打开发动机舱盖,发动机及线束一切都十分整齐,看来此车保养得非常好,车主说此车从来没出现过大毛病,所以不必考虑发动机有什么问题。打开点火开关,仪表灯微亮,将点火开关旋至起动挡,起动机“哒哒”作响不运转,好像蓄电池严重亏电。用万用表测起动时电压,只有9V,利用强起动蓄电池着车后,ABS、ASR、驻车制动灯及制动蹄片报警灯都常亮不灭,取下起动蓄电池,不一会儿发动机又熄火。再次强起动,测发电机的电压为蓄电池电压,说明发电机不发电。测量发电机D+端子,有+14V电压输出,证明发电机良好。为什么发电机良好却不发电,而且发电机充电指示灯也不亮。于是拆下组合仪表,取出充电指示灯灯泡,没有烧坏,线路也没有问题。无奈之下,只有人为强行让发电机发电。这样做有一定的危险,但为了进一步验证发电机是否真是好的,只好采取此办法。方法是:取一个点火开关处火线,接在一个二极管的正极上,二极管负极接在发电机D+端子上,人为给一个激励信号;利用这种办法着车,测发电机电压果然能达到—,加油时也正常,说明发电机是好的。虽然发电机电压正常了,但4个故障灯仍然常亮不灭,利用奔驰专用电脑STAR2000专用诊断仪准备进入ABS系统,发现通信错误,根本无法进入。取下ABS电脑盒,按资料电路图,找到电脑端子的火线和地线,发现ABS电脑缺少一个常电源。从蓄电池上取一常电源接入后,ABS、ASR灯熄灭,诊断仪也能进入且无故障,但驻车制动及制动蹄片报警灯仍然亮。逐个进行检查,驻车制动制动开关正常,制动蹄片及制动油液位都正常,再次从ABS电脑端子常火入手查看电路图。此常火是从基本电脑内部输出供给,检查基本电脑上的4个10A熔丝,结果3号10A熔丝烧断,取一个10A熔丝插上后又被烧断。仔细检查,发现3号熔丝上被人接了一根线,顺线找到一个防盗报警喇叭。此喇叭是后加装的,取下此线,再接一个10A熔丝,没有再烧断,原来防盗喇叭负载电流过大,只要一工作就会烧断10A熔丝。再测ABS电脑端子电源线,恢复正常,着车观察,驻车制动报警灯及制动蹄片报警灯也不亮了,一切正常。难道不发电也是此熔丝造成的吗?于是把发电机线恢复成原车线,测量发电机发电机电压正常,至此故障全部排除。一个小小的熔丝竟然惹出这么大的麻烦,使维修走了不少弯路。基本电脑是给其他电脑模块及仪表供电的一个中转站,所有模块的电源供给都从基本电脑输出,所以基本电脑上的4个熔丝十分重要。在此提醒维修界人士,千万不要胡乱改动原车线路,给维修带来困难,此例故障就是因加装防盗器的那个修理工,没有找到常电源,(奔驰车蓄电池在行李舱)就从电脑处取一个电源,但此10A熔丝无法带动防盗器喇叭,故防盗器喇叭一工作就把10A熔丝烧了,所以提醒朋友们检修车辆一定要找到根源,才能根治故障。阳光车发动机自动熄火故障现象一辆东风日产阳光乘用车,在行驶万km时到专营店进行正常维护,但两天后出现怠速转速较低,当车速达到100km/h—120km/h的条件下紧急制动时发动机会自然熄火,而且该现象出现的频率越来越高,每天达到五次以上,根据以上故障现象得出下列分析。故障原因分析利用CONSULT-Ⅱ故障检测仪进行故障检测,检测到“CMP SEN/ CIR-B1[P0340]”,即曲轴位置传感器及其故障线路故障。清除线路代码后,重新调取故障代码,该故障代码不再出现,但仍有紧急制动时熄火的现象。检查曲轴位置传感器(位于分电器内)及其线路,未见异常。利用替换法更换了分电器总成,故障未能排除。后经进一步检查发现,该车没有冷机提速功能,在发动机温度为37℃时,其怠速转速只有450r/min,但发动机运转平稳;当发动机达到正常工作温度后,在接通前照灯、空调等负荷的情况下行驶紧急制动,才会出现熄火现象,在熄火前发动机转速先将到400r/min以下,然后再慢慢熄火,不是立即熄火。熄火后发动机可立即起动。根据以上故障特征,判断故障发生在发动机的燃油系统或进气系统上,因为如果点火系统出现了故障,导致发动机熄火,其熄火具有突然性,并且熄火后发动机不易重新起动。为找到故障的原因,又做了以下检测:1、测量燃油系统压力。在发动机熄火时,燃油系统的油压始终保持在250kpa,说明燃油系统正常;2、检测发动机的基本怠速状况。热机后拔掉节气门位置传感器(TPS)线束侧连接器,发动机怠速在788r/min左右,说明发动机基本怠速正常;3、利用检测仪测试发动机加速后迅速松开加速踏板时的转速特性曲线,发现该车发动机在怠速补偿方面不良,就重点检查怠速控制系统。利用检测仪读取乘用车的数据流,并与其正常值进行比较。通过比较发现,该车在37℃时发动机转速只有450r/min,但发动机ECU向怠速电动机却已经下达了转动54步的指令;而在正常情况下,怠速电动机只要转动15步,发动机转速就能达到513r/min。由此断定怠速电动机或其控制线路可能存在故障。利用检测仪对怠速电动机进行执行测试。正常情况下,热机后当怠速电动机达到100步时,发动机转速可达到2000r/min左右,但该车在改变怠速电动机转动的步数时,发动机转速没有改变。从而进一步确认怠速电动机或其控制线路存在故障。更换怠速电动机,该故障无法排除。拔下怠速电动机线束侧连接器,接通点火开关,检查怠速电动机线束侧连接器的电源端子,其电压正常。(注意:必须用测试灯进行测量,这样可以排除电源线路接触不良或虚接电阻过大的现象,如果用万用表检测,容易忽视这方面的故障。)经测量发现怠速电动机线束侧连接器上各端子与ECU线束侧连接器上相应端子的导通性良好,怠速电动机控制线路中没有塔铁现象;进一步检查发现,在ECU线束侧连接器上有一个端子脱出,将其重新装复到原位,用检测仪测试乘用车在加速后迅速松开加速踏板时特性曲线,发现该曲线恢复正常,对怠速电动机进行执行测试,也正常,路试过程中没有出现发动机自动熄火的现象。该故障排除。捷达王突然熄火故障原因故障原因行驶中突然慢慢熄火,再启动后发动机工作不稳,接着很快又熄火。诊断与排除发动机慢慢熄火与燃油系统有关,但经检查燃油系统工作正常。拔下中央高压线做跳火试验,发现火花很强,说明点火系统正常。再检查点火正时,发现分电器固定螺栓松动,上下活动分电器,分电器可上下窜动。将分电器固定好后,发动机能顺利启动。但发动机工作不稳定,加速时排气管放炮。从新出现的故障现象分析,该车可能是点火错乱。检查分电器盖、分火头,均无故障。检查正时皮带,松紧合适,不可能发生跳齿现象。这时想起分电器固定螺栓曾松动过,会不会发生分电器齿轮折断现象呢?由于分电器固定螺栓松动,造成分电器向上窜动,齿轮不规则折断,同时螺栓松动使分电器左右转动,造成发动机熄火。重新启动发动机时,由于分电器齿轮断齿,使点火正时错乱,发动机工作不稳,加速不良。这时,再怎么调分电器,也调不出正确的点火正时。折下分电器,结果发现分电器齿轮有不规则断齿现象。更换分电器后,故障排除。时代超人发动机自动熄火故障的诊断与排除故障现象一辆桑塔纳2000时代超人,发动后不能正常运行,运转几分钟后就自行熄火,并且熄火后短时间内无法再启动着车;停放十几分钟后又能正常启动了,但过几分钟后又自动熄火。故障如此反复,无法正常使用。故障诊断与排除接修此车后,首先试启动发动机,发动机启动成功,运转较为平稳;原地加速试验,感到发动机很闷,响应不够灵敏,加速性能较差;运转大约3min左右,发动机怠速出现不稳且抖动了几次就自行熄火了;立刻再次启动发动机,没有任何着车的迹象。接上VAG1552诊断仪,读取发动机故障码,没有故障代码。随后又对汽油压力、高压线、火花塞进行了检查,未发现异常。检查配气正时的情况,也未发现问题。经过以上几项检查,时间大约已用了十几分钟,而后再次试启动发动机,发动机居然又能正常启动运转了。趁着发动机尚能运转的时机,立刻读取了该车的数据流,也未发现明显的异常。大约3min后,发动机再次自行熄火,仍旧是当时无法立即启动着车。这个故障确实很奇怪!各项检查和数据都显示该车没有任何能造成发动机不着车的问题,那么问题究竟出在哪里呢?仔细回想一下之前的一系列检查过程,再结合加速性能较差的现象,最后把问题的焦点集中在了排气系统上。笔者让一名员工启动发动机,自己到车尾观察消声器的排气情况,发现在启动过程中,消声器处竟然一丝的尾气也未排出,由此可以断定问题的确出在排气系统上。将车辆架起,断开排气管与三元催化器的接口,再启动发动机,发动机顺利着车,怠速运转较长时间,也未出现自行熄火的现象。拆下三元催化器检查,发现三元催化器的内芯已经被严重堵塞。由此断定,这个怪病的根源就在这个堵死的三元催化器上。更换新的三元催化器后,试车,运转平稳,加速有力,故障彻底排除。当三元催化器完全堵死后,发动机运转时的废气无法正常排出;当排气侧的废气压力增大到和作功压力相近的时候,发动机就自动熄火;熄火后排气管内的压力无法马上消除,所以在熄火后立刻启动时,无法再次着车。当排气管内的废气通过三元催化器内芯上残存的微小缝隙逐渐缓慢的卸压后,又能再次启动着车,这就出现熄火后等待十几分钟又能启动的现象。通过这个故障让我们认识到,对于一个故障的诊断,要全方位地去分析和思考,不能只局限于依靠仪器诊断的数据来判断。结论: 发动机是汽车的动力装置,其作用是将燃烧产生的热能转变为机械能来驱使汽车行驶的.它是汽车的唯一动力输出源,发动机自动熄火的诊断分析是对汽车发动机维修的一种技术要求,由于发动机维修复杂、涉及面广,对我们的诊断与维修造成一定困难。因此对汽车维修人员需要更高的要求。但在我们许多的维修人员中,对发动机的理论知识、各系统的工作原理不够了解,在分析问题时考虑不全面,同时在自动熄火的诊断分析问题的过程中条理不清晰,不能对症下药,常带一种漫无目的碰运气的心理进行维修,往往花了大钱、更换了许多零件却仍不能解决问题。本文对发动机自动熄火诊断分析进行了全面的分析,优化了维修工艺的程序。更进一步提高了维修人员的维修技能。参考文献:[1] 李清明,汽车发动机故障分析详解,北京:机械工业出版社, 2007[2] 李良洪,汽车维修工,北京:化学工业出版社,2004[3] 陈文华,汽车发动机构造与维修 北京:人民交通出版社 2003[4] 陆刚,汽车发动机的养护与维修实例 北京:电子工业出版社2006[5]刘越琪,发动机电控技术,北京:机械工业出版社, 2002参考资料:

在打开点火钥匙不启动的情况,机油灯是应该点亮,因为发动机润滑油道还未建立油压,在发动机启动后熄灭为正常,如启动后还亮为存在故障,也许是机油量不足或机油压力开关(机油感应塞)存在故障,或机油压力开关到仪表之间的线路存在故障。也有可能是仪表存在故障。对于机油灯亮的故障,一定要及时去维修,未查明原因前继续行驶会使发动机拉缸、曲轴咬死等故障,那就必须要大修,能修好不说,这对发动机来说绝对是一种损害。

汽车灯具毕业论文

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

内容简介: 毕业设计(论文) PLC交通灯电气控制设计,共17页,6857字 [摘 要]: 针对近年来城市交通的拥挤现象,特别是驾驶员违章严重、交通事故频发、车辆尾气污染等问题,介绍丁集计算机、信息、电子及通讯等众多高新技术手段于一体的智能交通指挥中心控制系统.该系统的安装及使用,大大缓解了城市道路堵塞现象、提高了道路的通行能力.减少了驾驶员违章的次数,抑制了交通事故的发生,同时对减轻车辆尾气排放,从而降低环境污染都起到了不可低估的作用. 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 [关键词]: 交通控制 交通灯 PLC控制机下载地址

汽车故障原因诊断综合分析法【论文关键词】汽车故障 综合判断【论文摘要】分析了汽车故障原因及部位(全车各部位、机械、电气、油、气等),并提出了科学合理的判断方法,即故障概率顺序排列法及辅助判断法,可迅速地确定故障所在部位。结果表明,汽车发生故障的可能性主要取决于产品质量,可靠性高的产品其出现故障的部位往往是正常思维可以想到的;而产品质量有缺陷的车型,故障部位往往出现在人们正常思维无法判断的。利用综合分析方法,对判断车辆故障具有重要指导价值。 一、原因分析 一辆奔驰560SEL轿车因气门异响更换新摇臂后出现怠速剧烈抖动的情况。按照一般思维过程,只拆装过摇臂、凸轮轴,查找故障应当首先考虑这几个部位,如果顺着这条线索查找下去,也许很快就可以排除故障。但遗憾的是修理工在断火试验时发现至少有三个缸工作不良,他当然想到只更换了一个缸的摇臂,即便是有故障也不会引起这三个缸都不工作,故障原因可能在于其他方面。根据经验,可能原因排列: (1)废气再循环(EGR)系统故障,废气大量进入气缸(此项可能性最大)。 (2)进气系统漏气,混合气太稀,怠速工作不良(此项可能性居中) (3)更换摇臂型号(质量)有缺陷(此项可能性最小)。 二、故障判断方法 (1)检查废气再循环系统。将EGR阀上真空管去掉,故障依旧;再将EGR阀从发动机上拆下,发现该阀锈蚀严重,废气通道与进气通道根本就不通,废气并未进入气缸,可能性最大的一项成为不可能。接下来检查进气系统,没有发现有漏气的地方,第二种可能性也被排除。对于第三种可能性,即使最终发现是摇臂的问题,对于本次维修而言,也不能算是一次圆满成功的维修,因为到这个时候检修工作已进行了半天,车主对此已有所不满,当然最终发现确实是摇臂型号不对,与气门的接触面新摇臂比旧摇臂高约2mm,磨去一段后修正至标准值,重新装复后发动机怠速平稳,故障排除。如若检修车辆是在拆装、调整后出现的故障,应当首先对这部分进行检查,而不能按常规步骤来进行。时隔不久一辆新款丰田(CARMY )轿车因行车时捣缸,发动机损坏而入厂维修。更换新缸体及其他部件后试机起动,发动机却始终无法起动。在起动机带动发动机运转的过程中,发动机不是回火即是放炮,象是点火错乱,检查高压线也并未插错(该发动机为直接点火)。吸取上一次教训,不能盲目检查,先询问修理工拆装发动机时有何异常情况,修理工回答在曲轴上有一齿轮形传感器,分解发动机时因生锈无法从曲轴上拆下,强行撬下来后发现有一个齿开裂,用502胶粘牢后又装上,结果出现上述故障。根据所获得的信息,让修理工将曲轴位置传感器转子从车上拆下,仔细检查并未看出有明显异常,粘接处也几乎看不出痕迹来;但对于人自身看不出来的故障及零件缺陷,电脑未必不会监测到,因为修理厂条件所限,无法用示波器观察到传感器输出波形,但对于本车所述故障,从概率方面分析,我仍认为曲轴位置传感器转子损坏具有最大可能性。 (2)电脑损坏。但众所周知,即使电脑可以输出正常代码,也不能绝对地认为电脑一定正常,但这种可能性较小。 (3)气缸压力不足。但在配气相位正确的情况下,四个气缸同时出现压力不足的情况的可能性也较小。经以上分析,建议修理厂购买新曲轴位置传感器转子,次日新件到货,装车一试立即着车。 三、故障概率分析法 一辆一汽生产的奥迪轿车出现蓄电池亏电的现象,在车库里放3、4天后蓄电池里的电几乎全部放完。修理工起初以为蓄电池失效,因自放电而亏电,换新蓄电池后故障依旧,修理人员几乎检查了所有部件,仍未查出故障,最后得出的结论是将第四个保险拔出,蓄电池即停止亏电。第四个保险所涉及内容包括:室内灯、阅读灯、点烟器、钟表、收音机、行李舱灯、空调指示灯。首先确定故障是否存在,点火开关关闭,将蓄电池负极断开再接上,可以看到蓝色电火花,证明确实存在较大电流放电。接下来并不急于检查故障部位,而是对第四个保险丝所涉及内容作一故障概率分析。 (1)点烟器不能自动弹出:将前后两个点烟器拔出,故障依旧,此项可能性被排除。 (2)室内灯、阅读灯、钟表、收音机、空调指示灯均可正常工作,但不能确定在点火开关关闭后其消耗电流是否正常,此项可能性居中。 (3)第四个保险丝所涉及线路有短路、搭铁处,消耗电流,此项可能性同上居中。用数字万用表测量第四个保险丝所消耗电流(点火开关关闭)为 A,粗略估算其功率=,其功率与行李舱照明灯接近,但行李舱钥匙被司机带走,无法打开检查,修理工建议拆下仪表检查钟表、收音机及相关线路,但笔者认定行李舱灯损坏可能性最大,要求修理厂先检查行李舱灯,检查其他部位可能费力不讨好。次日从修理厂得到消息:确实是行李舱灯烧坏:灯开关座下陷,即使关上行李舱盖灯泡仍不能熄灭,灯泡已烧坏发白,但灯丝未断,因而始终消耗电流。换新灯泡并修复开关座,故障排除。四、辅助诊断法 (1)眼观。观察仪表:观察电流、机油压力表、水温表和汽油指示表等指示车辆有关部位的工作情况,如发现显示数字异常,说明该部件出了问题。察看外观:如发动机排烟过多,排烟颜色异常;某些部件出现漏水、漏气、漏油、漏电等现象;车架车身变形,各部件间隙过大或过小。察扯油液:常规的油、液、媒检查不可忽视。机油、自动变速箱油、转向助力器油、齿轮油、制动液、冷却液、玻璃水、冷媒等油液的检查的车辆正常运行的保证,相关批示灯亮起,或是发现有缺少,要及时补充。察看颜色:通过察看车用零件液体的品质来判断故障。如某辆车自动变速器油颜色变紫,而且有少量浑浊物,可判断是自动变速器故障而不是发动机动力不足。 (2)耳听。发动机:由于不断变换油门,发动机发出的响声也是不相同的,要仔细听发动机声音有无异常。底盘:不断改换行驶速度,传动系的响声一般随车速的提高而增大,但当车速提高到一定程度后,有些响声反而减弱,甚至消失。分清响声的类型:如连响与间断响;脆响与闷响;有规则与无规则的响,并确认哪些是正常的,哪些是异常的。 (3)鼻闻。焦臭味:是制动拖滞,离合器打滑所致。烧机油、烧制动液能引起特殊气味。电器工作时烧毁线路会发出焦皮味。闻味的方法用的得当,可为诊断故障提供指导作用。 (4)手摸。用手摸制动鼓、后桥壳、变速器外壳来判断该部件的温度: 如手摸感到发热,温度大约40℃左右。感到烫手,但能坚持几分钟,温度约在50℃~60℃左右。手根本不能忍受,温度至少达80℃以上。 (5)隔离。部分的间隔,或隔断某些系统与某些部件的工作,以此来确定故障范围。如隔断某部件后,故障消失,说明故障发生在此部件;如故障还存在,则说明故障不此处。发动机:隔断某个缸(断火或断油),如果排烟消失或减少,则该缸有故障。底盘:如诊断底盘异响,可将变速杆放在空档位上,不断地接通和分开离合器,根据响声的变化来分析响声是发生在离合器还是变速器。电气:如某灯不亮,可将该灯与蓄电池直接接通,若灯亮,则说明连接该灯的导线发生了故障。 (6)试探。如诊断气门异响。若怀疑气门间隙过大所致,可用厚薄规检查,并调整规定值,若异响消失,即判断正确。若响声依然存在,再继续查找其他部位。 (7)比较。当某缸不工作时,如怀疑是火花塞问题,可交该火花塞与正常工作的火花塞对换,若故障转移,说明故障出于原火花塞。 五、结束语 在汽车故障诊断中,经常会遇到花费较长时间检查故障所涉及的部位仍未能查出故障,即使能够查出故障,在时间、精力方面也可能得不偿失;如果采用概率分析法则能够迅速、准确地确定故障,为客户节省时间的同时提高了自身的声誉。在汽车维修中,除了用仪表、检修仪器和工具对汽车进行诊断外,还应结合简易的人工诊断,对汽车故障诊断具有重要价值。 参考文献: [1] 汽车工程手册[M].北京:人民交通出版社,2001.转

汽车倒车防撞报警系统毕业论文

今个来给大家说说这个开题报告。先来说说官方的解释。开题报告包括综述、关键技术、可行性分析和时间安排等四个方面。篇幅不用过大,但要把计划研究的课题、如何研究、理论适用等主要问题写清楚。内容一般包括:题目、理论依据(论文选题的目的与意义、国内外研究现状)、研究方案(研究目标、研究内容、研究方法、研究过程、拟解决的关键问题以及创新点、)条件分析(仪器设备、协作单位及分工、人员配置)、课题负责人、起止时间、报告提纲等。一大堆的理论知识,有的人能看懂,有的看不懂,根据自己的理解说说,开题报告就是一个比任务书更加详细的东西,形式都是一样的,以表格的形式出现,就是里面的内容要比任务书里的多几个。我总结的,开题报告一般都有,“课题的来源、意义,研究目的、内容、方法、手段、步骤、措施,国内外发展状况、动态,拟解决的主要问题”。下面给挨个给大家解释解释吧,又是无聊的理论时间,虽然无聊,但还请大家耐心的看完。如果想真正的学会它,就要先充分的了解它,如果是对照步骤去做,那只能解决暂时你遇到的问题,而不能长久。所以还希望大家可以用心的去了解了解,真正的把它变成自己的东西。等你真的学会了,以后看到别人不会写的时候,你也可以去教教别人,那多牛气,不仅可以帮助别人,还能突显自己的才华。废话不多说,下面来给大家一一的解释解释。其实开题报告也就五大块,“理论依据、研究方案、条件分析、时间安排、参考文献”。由于开题报告的篇幅较大,无法在一张图片上显示出所有内容,所以先讲讲理论,然后再分析实例。一、理论依据你研究的这个课题,需要有理论的支撑,才能保障你的这个研究是有价值的。这个理论可以有多种方式去支撑,也就是研究目的、研究意义、国内外研究现状、动态。靠这些去让人信服,你的这个研究不仅有理论支持,是正确的,还是对社会有意义,有好处的。1、研究目的和意义目的和意义要表达的意思基本一样,写出来的东西也基本可以互相使用,所以就一块说了。在写这个的时候,一般有两种写法,第一、根据社会的发展需要,或者是XX东西与人们的联系越来越紧密,利用大学四年学到的知识,不仅能提高工作效率,还能减少工作中的失误、成本,在带给人们方便快捷的同时,也适应了社会的发展需要,还能检测自我动手能力和对学到的知识应用。第二、此次研究(或设计)运用到了学习过的XX知识,并利用XX技术,在原有的基础上,提高XX的工作的效率,不仅能对大学中学到的知识进行一个检测,还能通过实际操作,来加深对知识的巩固和应用。第三、人们在使用XX东西的时候,经常会遇到XX问题,这些问题会带来XX的危害。针对此类问题,设计一款XX,能够解决人们的XX问题,还能提高XX。这三种写法在结构上基本相同,只是切入点不同,第一是从大方面说,“根据社会的发展或是人们中日常能见到、使用的东西,或是工作中经常要用到的东西”。第二是从自己的专业上去说,利用自己学到的知识去研究(或设计)一个什么东西。第三是先说问题,再引出设计的这个东西,而这个东西还能解决这些问题。2、国内外研究现状和动态国内外研究现状和动态也是一个意思,在写这块的时候,需要你查阅大量的相关资料,去深刻的了解你所选定的课题,目前在国内是发展到了什么程度、研究到了什么程度等等,而国外又是一个什么样子。这里的写法也有两种,第一、可以分三块,先写它过去的发展历程,再写目前的研究是什么样子的,然后再说说未来会成为什么。第二、国内外多次召开了什么会议,专门来研究这个东西;国内外有多少学者在研究这个东西,并发表了什么书籍或者文章,还说过什么话;国内外有多少研究机构在研究这个东西,并取得了什么成绩。可以将两点结合写,也可以选择其中一点去写,但最终的目的只是一个,用真实的事例去让人觉得,你的这个研究(或设计)是有意义的。二、研究方案1、研究目标目标就不用解释了吧,如果你是研究,那就要写,通过研究,证明了什么或者是说明了一个什么。如果是设计,那就要写,通过设计这款XX,达到什么效果或起到什么作用。在写这块的时候,可以很简单的用两三句话去写,因为你的目标不能长篇大论吧,目标就要明确简洁。比如,(1)研究天空为什么是蓝的。利 用XX技术,证明天空是蓝色的,并详细解释其中的原因。(2)研究抽烟对人体的危害。利用XX和XX技术,证明抽烟对人体有XX、XX、XX等危害,并列举了数个戒烟的方法,劝诫大家要戒烟。(注:以上(1)(2)两个例子中,所用到的技术,是要和自己专业有关的。)(3)设计一款电子商务网站。通过设计一款电子商务网站,不仅让人们能够正在的做到足不出户就买到自己想要的东西,还能让商店提高综合竞争力。(4)设计一款新型混凝土。通过设计一款新型的混凝土,通过对XX材料的改进,不仅提高了混凝土的质量,也减少了原材料的消耗,大大的减少了成本。最后再说一个老例子,将大象放进冰箱。通过XX技术,将大象成功放进冰箱,检验自己对专业知识的掌握程度和动手的实际操作能力。(注:最后这个例子,大家要自己仔细看了,最后说的话和上面四个都不同。(1)(2)的目的都是通过一个什么东西去证明或说明另一个东西;(3)(4)的目的是便捷人们,对社会发展有意义;而(5)这个,目的是检验自己的所学到的知识,检验自己的动手操作能力。这是一种不同的写法,但也是可以的。)(注:以上所有例子,只为解释该如何写,提供一个写的方向,其中涉及中的专业知识,没有经过仔细的研究,还请以实际情况为准。)2、研究内容研究内容就是你正文所写东西的一个小总结,将自己要写的东西总结一下,有三种写法,第一、可以以提纲的形式来写,也就是将自己正文中的标题写上。第二、针对某些问题,写出解决方案的具体方案,并能带来什么好处。第三,分条来写,也就是总结个几点,然后罗列着写出就可以了。(下面再进行具体的例子具体分析,这里就先说说无聊的理论)3、研究方法研究方法有很多,说几个用的比较多的吧。比较分析法、观察法、文献研究法、案例分析法、调查法、实验法、实证研究法、数学方法、经验总结法、模拟实验法。(1)比较分析法:在资料不多的情况下,无法进行准确的归纳和总结,通过实验后得到的数据与实际的数据进行比较,从而对你的研究进行一定的判断。(2)观察法:使用自己的眼睛去观察已有的数据,从数据中获得一定的东西。(3)文献研究法:这个是用的最多的,也是每个论文里基本上都要出现的。文献研究法就是通过调查研究已有的文献,从而获得资料,并对此项研究有一个全面的,正确的了解。我们的论文中一般都会要求写“参考文献”,如果你写了参考文献,那一定会用到文献研究法。(4)案例分析法:对已有的实例进行分析,并从中得到自己所需要的资料,可以是总结出了XX,也可以是对几个案例进行比较后,得到了XX。(5)调查法:通过对XX的调查,得到自己所需要的资料。通过对XX的调查,可以得知XX。(6)实验法:通过正确的实验,对研究或设计进行实验,判断是否会成功,如果成功了,那就证明这个是可以的,如果失败了,也可以写写有什么问题,并是怎么解决的。(7)实证研究法:通过实际的操作,来观察、记录、测定。不断的改变基本条件,来观察事物的变化,并记录在案,最后对数据进行判断,测定出事物与条件的关系。说的简单点,就是观察一个人在白天和黑夜,身体各数值的变化。(8)数学方法:利用数学的专业工具,对事物进行量的处理,得到准确的数字,从而进行一定的说明和判断。比如统计学。(9)经验总结法:不断的进行实际操作,在实际操作中积累经验,并对这些经验进行分析和总结。就比如学习怎么骑自行车,等你学会后,总结一下经验。(10)模拟实验法:有些东西是无法在实现中进行实验的,因为实验器材的没有或者是研究经费的不足,或者是没有某项材料,或者是实验带有一定的危险性。当存在这些情况时,我们会利用计算机进行一定的模拟实验,通过完美的设计,在计算机中设计出和现实中无限接近的实验,就叫做模拟实验。4、研究过程过程这个大家应该都能理解吧,就和把大象放进冰箱一样,第一步,打开冰箱门;第二步,将大象进入冰箱中;第三步,把冰箱门关上。这块写的时候,也有几个框架,大家可以结合自己的实际情况,合理使用。第一个:(1)确定研究题目阶段(2)研究设计阶段(3)收集资料阶段(4)整理、分析、总结资料阶段(5)研究报告的撰写阶段这个就是很简单的一个,先确定题目,再开始设计,设计完成后收集需要的资料,整理好之后开始分析,分析完成后就得总结了,总结好了之后写写研究报告。第二个:(1)选题、明确研究方向(2)对文献进行研究和分析(3)构建基本框架(4)确定研究方法,收集相关资料(5)对研究结果进行分析,形成结论(6)撰写研究报告这第二个和第一个也差不多,有些许改变的地方,但基本上也都一样,大家可以根据自己的实际情况,合理的使用。5、拟解决的关键问题写这一点的不是那么多,可写可不写,属于可有可无的状态。看自己的实际情况吧。这一块有两种意思,第一种是在设计前,要着重的去解决什么难点问题,突出关键。第二种是设计完成后,在试验或者运行的时候会出现的问题。第一种可以写,不仅能突出中心,说明关键,也能让人清楚的看到你想表达的意思。第二种就有点。。。因为这一点写出来有那么一丝的不太好,也有好处,看自己怎么理解了。好的地方在于,我们不是专业人士,在研究和设计的时候,肯定会出现一些问题,人人都会犯错,这是无可避免的。所以将自己出现的问题写上,是一种查漏补缺,然后再写写通过XX办法,将问题解决,这是知错就改,能达到一种升华的感觉。坏处就是,有的人会觉得,在最后试验或者运行的时候出现了问题,说明你的前期准备工作不足,研究时不够细心,操作时不够严谨。这一块我也说不准,大家还是得根据自己的实际情况,合理的使用。6、创新点这一点也可以说是可有可无的一块,如果有创新那是最好的,是加分点。如果没有,那也不会因此而扣分。如果大家要写这一块的内容,其实很简单,一段话说说你的创新部分,并说说创新的这部分能带来什么好处就可以了。三、条件分析在我们进行研究之前,有一项内容是非常重要的,就是“可行性”。直白一点说就是,你的这个研究(或设计)是否可行,也就是对能进行研究的条件进行全方位的分析。可行性分析包括的内容太多了,“技术可行性、社会可行性、经济可行性、安全可行性、法律可行性等等,”这五个是用的比较多的,也是最基本的,其余的可行性分析,需要大家根据自己研究的课题,再去找其他的可行性分析。1、技术可行性想研究一个课题,得有技术的支持,最基本要有相关的书籍、期刊等资料供你学习和参考,还要有相关的研究为你提供“前车之鉴”。如果是一款设计,得有能构建框架,画图等等工具。如果你要研究月球上有没有生命,火星上有没有水,那。。。2、社会可行性研究或设计的这个东西是要对社会有一定意义的,如果说是那种有点“无聊且毫无用途”的,那就有点“费力不讨好”了。我们要研究或设计的东西首先是要有意义的,这是最起码也是最基本的要求。3、经济可行性这个“经济”有两层意思,第一是你要使用的器材、查阅的资料、要使用的东西等等,这些东西要花的钱,如果这个钱是普通大学生能够承受的,那么可以。如果要花的钱很多,那绝对不行。第二是如果这个研究或设计在“上线”之后,会涉及到了金钱方面,那么就要严谨严谨再严谨,如果是有涉及到钱的部分,产生了金钱的交易,那绝对不能“上线”。4、安全可行性不管你是做什么研究还是设计,首要都是保证安全,如果这项研究或设计带有一定的危险性,比如要用到硫酸、高度电等。那么我们就要先保证自身的安全,再去进行研究或设计,如果无法保障安全,那么这项研究或设计必须马上停止,并进行技术改进和安全措施保障。5、法律可行性咱们所研究或设计的东西,必须在有关的规划、政策、法规的指导下完成,这是绝对不能违背的。好了好了好了,看了这么长的无聊的理论,下面来讲讲实例,从网上找了几个,给大家参考参考,再分析总结一下。话不多说,上图。咱们先来看题目“我国城乡医疗保障制度一体化改革问题与研究”,(这次的图片非常清晰啊)。选题目的。首先写社会新的发展时期,按照XX思想,我研究的这个课题是重要内容。再写现在的不适应发展需求,问题和矛盾逐渐显现。经过深入的研究之后,努力的找出一条能满足大众的。这就是很好的一个例子,三块内容,1、根据社会的需求或发展;2、原来XX有一些问题和不足;3、研究XX很有必要。选题意义。研究XX对XX有很大的作用。然后分三点,从三个方面来说。第一、有利于经济的发展,在大背景下,并简单的说了一下目的,接着就说,在这个时期,出现了什么问题,通过研究后,要其符合发展趋势,致力于推动它的自由、合理的流动,解除后顾之忧,促使经济的发展。第二、有利于什么问题的解决,介绍一下背景后再说通过改革后,能达到什么样的目的,也是解决这个问题的关键,从而能推动什么,为实现什么而创造条件。第三、有利于推动转型。还是先说一下背景,然后再通过社会发展引入到要研究东西上,再说一下好处。大家从整体上看,这三点其实很相似,①总结句,直接说出好处。②介绍背景。③说出问题或者引入到研究上。④将意义扩展,能实现什么,能解决什么问题,能促使什么等等。图2-1中是题目为“超声波倒车测距仪设计”。研究动态①先说这个事物的发展,“汽车发展的飞速,越来越多的人都有了轿车”。②然后立马就说问题,“交通问题也随之越来越多”,这个“随之”承接了上文,写的很好。③然后再具体的分析问题,“为什么交通问题也会越来越多”?因为“刚拿到驾照的人,或者是车龄还不长的人。他们的倒车技术不够熟练”所以“不仅会给自己和他人带来一定的损失,还会引起不必要的争吵”。④那怎么解决问题呢,“设计一款倒车防撞报警器”,而且,一定要写上“针对上述问题”。⑤那这个“倒车防撞报警器具体有什么用呢,能给驾驶员带来什么好处呢,能解决上面说的那些问题吗”。“这个东西能感受到周围的障碍物,并以声音和显示的声音来告诉驾驶员,不仅没了泊车和一直要前后左右探视的困扰,还能扫除视野死角,大大的提高了安全性”。标准的写法,1、事物的发展;2、存在的问题;3、分析问题;4、解决问题;5、作用及好处。研究意义①还是老“套路”先说发展,出现了微波雷达测距、激光测距和超声波测距。②再说不足的地方,技术难度大,成本高。③接着转折,说“我研究的这个东西有什么好”。技术难度不大,成本低廉,适用范围广,并且还同样具有声波传输的基本物理特性。④在升华一下,利用XX特性,并且国外早就有人开始研究了,这个时候,重点来了。在这一块的时候,又转折一下,国外使用的那个东西,比较特殊,很难购买到专用的元器件,推广起来很难。⑤最后这是最最最最重点的,“我”使用的这个,国内就有专门生产的,而且还是通用的,不仅成本低,而且性能好。咱们接着来看下一个。首先来看看题目“全流通时代上市公司并购趋势及对策研究”。从题目来看,这是一个研究性比较强的,在“全流通时代”这个背景下,主要研究的是上市公司的并购问题,在这个问题里,我主要研究的是它的“趋势和对策”。研究目的我们首先来注意一点,他开始写了“研究目的:”,这四个字同学们会问,到底该不该写。还是那句话,有好有坏,询问老师。好的地方是:让人看的更清晰,直观明了。坏的地方是:上面就是让你写研究意义,你再写一遍,重复累赘。这种有好有坏的问题,解决办法只有一个,询问老师。①上来直接一句话点明研究的重要性。“是实现企业快速扩张和资源重新配置的有效途径”,而且,将大背景简单的说一下,并提高一下重要性。“随着全流通时代的到来,并购的浪潮也随之来临”。不光介绍了大背景,还提高了重要性,更厉害的是,用了比喻和夸张的写法。②他这里没有说出问题和不足,而是先说了一下“特点”,然后说了应该“加强”的方面,去推进创新,规范行为。这里写的就上升到了另一个层次,他不是像上面那个一样,直接说出我们都知道哪些问题和不足,而是通过加强,去推进和规范,这是大家非常值得学习的。③他说了在大背景下,实现了这个东西的不断增大,并形成了新的市场环境,然后引出说,在这个新的背景下,分析这个东西的发展趋势,并提出对应的措施。从整体来说,这是一个写的非常好的研究目的。首先说重要性,然后从“背景”切入,将大背景稍微介绍之后,又写出了新的环境,讲出了我的这个研究是在新环境下进行的,不仅有新意,走在了时代的前段,而且很有研究的必要,将意义表达的“淋漓尽致”,最后一句完美的点题,说出了自己要研究的东西。主要研究内容(提纲)先说两点需要大家注意的地方:第一、他的这个在“主要研究内容”后有一个“(提纲)”,因为要求里已经说了,可以写研究内容,但也可以写提纲,所以,人在下面才写了提纲。如果没有这个要求,但你还想写,在写之前,要问老师,老师说可以,那就可以写。第二个需要注意的地方,大家可以看到,他一开始就写了“二、本课题的主要研究内容(提纲)”,他在这里已经写了“二”,而下面开始,还用了“一、二、”。我个人认为,这样写有些不妥,会让人看的有些混乱,不清晰。如果是我个人的话,我会用“1、2、3和(1)(2)(3)或者是①②③”。如果没有严格要求的话,大家可以随意使用,但如果是有要求,必须让用“一、二、三和1、2、3”,那大家就只能按照要求来,但如果没有要求,我认为下面的内容不能和上面的使用同一个“大写数字”来表达。其实这个“提纲”就和你正文里的各个标题一样。一、绪论,然后分了三块,分别写了“新市场环境、发展趋势和对策”,最后是总结。这没什么好说的,只能说,这个提纲非常有助于你写论文。咱们来看第四个,这是一个题目为“会议产业核心竞争力评估研究”,主人公是“会议产业”,要研究它的核心竞争力,主要的研究方向是,对它的核心竞争力进行评估。咱们先来看研究目的。上来直接就说,本次研究目的体现在三个方面。第一、先梳理国内的发展现状,再梳理国外的,然后进行分析,明确国内的和国外的有什么不同。第二、从外部和内部进行分析,明确影响因素。第三、弄出评价指标,进行实证分析后得出结果,然后提出相关的、合适的、合理的建议与对策。我们应该可以看出,他写的这个研究目的其实很简单,①分析国内外研究现状进行对比;②分析内部与外部,找出影响因素;③用评价指标进行实证分析,得出结果,提出建议和对策。下面来说说研究意义,他是分了两点,“理论意义和实际应用价值”。其实两个可以写到一起,或者是直接分为第一点和第二点也挺好,这样写也不错,有了明确的小标题,让人看的更清楚和清晰。第一、理论意义。先说国外的研究比较厉害,国内的还处于起步阶段,通过研究后,希望能完善理论。第二、实际应用价值发展的不行,处于起步阶段,所以建立一个,非常的重要,不仅能增强核心竞争力,还能促进发展。如果大家有好好看前面三个,那么在看第四个的是,大家应该已经明白了其中的“套路”,如果你没看出来,那就只有两个原因了,要不是你没有好好的看,要不就是我写的不行,我想大部分人应该都会选第二个。不管是理论意义,还是实际应用价值,第一、我不建议大家写出“小标题”,虽然能让人看的清晰,但同样也会挑你的毛病。你的实际应用价值写的不准确,没有说出能用在什么具体的事物上。第二、如果你想让字数能多一点的话,可以写的饱满一点,但如果字数要求不是很多,就别写那么多的废话了。别以为长篇大论,老师就不会看了。遇到严格的老师,你的论文里不能有一句废话。例一:①理论意义本文通过以北京市和上海市为例,对国内的会议产业核心竞争力进行研究,并和国外进行了分析、对比,得出一套可以提高水平和完善制度的研究报告。②实际应用价值通过对国内外会议产业的核心竞争力进行对比和分析,建立一套具有普遍意义的核心竞争力评价体系,并应用到国内会议产业当中,增强国内产业的核心竞争力,促进可持续发展。例二:本文通过以北京市和上海市为例,对国内的会议产业核心竞争力进行研究,并和国外进行了分析、对比,得出一套可以提高水平和完善制度的研究报告,并应用到国内会议产业当中,增强国内产业的核心竞争力,促进可持续发展。最后还是要说那句话,以上的所有分析,全为个人总结,大家一定要结合自己的实际情况,并多多和老师交流。有什么说的不对的地方,还请大家多多指出,多多提出意见,同时也希望能和大家多多的交流,共同探讨写论文的技巧,帮助大家一边学习一边进步。

随着时代的发展,网络通信已广泛地应用于政治、军事,经济及科学等各个领域,它改变了传统的事务处理方式,对社会的进步和发展起着很大的推动作用。下面我给大家带来通信工程专业 毕业 论文题目_通信专业论文怎么选题,希望能帮助到大家!

通信工程毕业论文题目

1、 通信工程项目管理系统集成服务浅探[J]

2、 试述我国通信工程发展现状与前景[J]

3、 网络传输技术在通信工程中的应用探析[J]

4、 通信工程中多网融合技术的应用问题探析[J]

5、 探究有线传输技术在通信工程中的应用及发展方向[J]

6、 探讨通信工程项目的网络优化[J]

7、 应用型通信工程专业计算机类课程建设研究[J]

8、 结合3G/4G网络与GPS定位技术实现通信工程现场监理[J]

9、 通信工程的风险管理探讨[J]

10、 如何解决通信工程管理中的问题[J]

11、 通信工程设计单位标准化管理研究[J]

12、 传输技术在通信工程中的应用解析[J]

13、 通信工程施工管理模式的创新研究[J]

14、 通信工程中有线传输技术的应用及改进[J]

15、 通信工程项目中的风险管理与控制策略研究[J]

16、 探析通信工程中传输技术的广泛应用[J]

17、 浅谈通信工程项目的质量管理[J]

18、 项目管理 方法 在移动通信工程管理中的应用研究[J]

19、 通信工程项目管理研究[J]

20、 通信工程光缆施工的质量控制探讨[J]

21、 试论在通信工程施工过程中信息化管理的应用[J]

22、 浅谈传输技术在通信工程中的应用及发展[J]

23、 浅谈通信工程技术传输的有效管理策略[J]

24、 信息通信工程中传输技术的有效应用[J]

25、 铁路通信工程中无线接入技术的应用探究[J]

26、 试论通信工程的特点及发展现状与前景[J]

27、 浅谈通信工程发展前景[J]

28、 以华为公司为例探析通信工程技术的社会经济价值[J]

29、 传输技术在通信工程中的应用与发展趋势[J]

30、 通信工程建设进度控制研究[J]

31、 关于多网融合在通信工程中的应用分析[J]

32、 基于通信工程传输技术的应用研究[J]

33、 强化通信工程安全管理的对策[J]

34、 通信工程存在的经济问题和发展分析[J]

35、 通信工程管理在项目中的应用[J]

36、 探讨通信工程项目的网络优化方式[J]

37、 传输技术对通信工程的作用[J]

38、 浅谈通信工程传输技术的应用[J]

39、 通信工程中有线传输技术的应用及改进[J]

40、 刍议通信工程传输技术的现状与未来发展[J]

41、 浅析我国通信工程发展现状与展望[J]

42、 通信工程项目管理中关键点的标准化研究[J]

43、 软交换技术在通信工程中的应用及发展方向[J]

44、 探究通信工程专业学生就业现状及对策研究[J]

45、 如何提高通信工程监理企业的竞争力[J]

46、 通信工程监理企业竞争力探析[J]

47、 浅谈通信工程信息技术[J]

48、 通信工程中土建工程质量控制探讨[J]

49、 通信工程项目管理中系统化、集成化实现的路径分析[J]

50、 通信工程中有线传输技术的改进研究[J]

移动通信毕业论文题目

1、大数据分析在移动通信网络优化中的应用研究

2、典型移动通信基站电磁环境影响模型化研究

3、高速移动通信场景下基于LTE-A中继系统的资源调度关键技术研究

4、基于专利信息分析的我国4G移动通信技术发展研究

5、移动通信基础设施建设中多方合作研究

6、移动通信基站管理系统的设计与实现

7、“营改增”对内蒙古移动通信公司 财务管理 的影响及对策研究

8、低轨宽带卫星移动通信系统OFDM传输技术研究

9、雷电脉冲对移动通信基站影响的研究

10、平流层CDMA移动通信蜂窝网的性能研究

11、B3G/4G系统中的无线资源分配的研究

12、下一代移动通信系统中跨层资源分配研究

13、基于OFDM的GEO卫星移动通信系统关键技术研究

14、下一代移动通信系统中的关键传输技术研究

15、基于SCP的海峡两岸移动通信产业比较研究

16、多场景下移动通信系统业务承载性能研究

17、未来移动通信系统资源分配与调度策略研究

18、高速铁路移动通信系统性能研究

19、下一代移动通信网络中的无线资源管理与调度策略研究

20、下一代卫星移动通信系统关键技术研究

21、混能供电移动通信网络的节能方法研究

22、移动通信数据挖掘关键应用技术研究

23、移动通信系统中的认证和隐私保护协议研究

24、基于移动通信定位数据的交通信息提取及分析方法研究

25、电信运营商在移动通信标准发展中的产业作用关系研究

26、天津移动通信市场非线性预测及面向3G的发展策略研究

27、移动通信产业链创新系统研究

28、移动通信智能天线关键技术研究

29、移动通信运营商产品品牌 文化 研究

30、宽带移动通信系统资源调度和干扰管理的研究

31、未来移动通信基站体系结构--定性理论、方法与实践

32、移动通信系统中天线的分析与设计

33、基于客户的移动通信品牌资产模型及影响机理研究

34、中国移动通信业价格竞争行为研究

35、具有NFC功能的移动通信终端电路设计

36、具有电子支付功能的移动通信终端软件设计

37、移动通信服务业顾客满意度及忠诚度影响因素比较研究

38、移动通信企业 市场营销 成本管理研究

39、移动通信 无线网络 建设项目的质量管理研究

40、卫星移动通信系统编码协作技术

通信工程专业论文题目

1、基于61单片机的语音识别系统设计

2、红外遥控密码锁的设计

3、简易无线对讲机电路设计

4、基于单片机的数字温度计的设计

5、甲醛气体浓度检测与报警电路的设计

6、基于单片机的水温控制系统设计

7、设施环境中二氧化碳检测电路设计

8、基于单片机的音乐合成器设计

9、设施环境中湿度检测电路设计

10、基于单片机的家用智能总线式开关设计

11、 篮球 赛计时记分器

12、汽车倒车防撞报警器的设计

13、设施环境中温度测量电路设计

14、等脉冲频率调制的原理与应用

15、基于单片机的电加热炉温

16、病房呼叫系统

17、单片机打铃系统设计

18、智能散热器控制器的设计

19、电子体温计的设计

20、基于FPGA音频信号处理系统的设计

21、基于MCS-51数字温度表的设计

22、基于SPCE061A的语音控制小车设计

23、基于VHDL的智能交通控制系统

24、基于VHDL语言的数字密码锁控制电路的设计

25、基于单片机的超声波测距系统的设计

26、基于单片机的八路抢答器设计

27、基于单片机的安全报警器

28、基于SPCE061A的易燃易爆气体监测仪设计

29、基于CPLD的LCD显示设计

30、基于单片机的电话远程控制家用电器系统设计

31、基于单片机的交通信号灯控制电路设计

32、单片机的数字温度计设计

33、基于单片机的可编程多功能电子定时器

34、基于单片机的空调温度控制器设计

35、数字人体心率检测仪的设计

36、基于单片机的室内一氧化碳监测及报警系统的研究

37、基于单片机的数控稳压电源的设计

38、原油含水率检测电路设计

39、基于AVR单片机幅度可调的DDS信号发生器

40、四路数字抢答器设计

41、单色显示屏的设计

42、基于CPLD直流电机控制系统的设计

43、基于DDS的频率特性测试仪设计

44、基于EDA的计算器的设计

45、基于EDA技术的数字电子钟设计

46、基于EDA技术的智力竞赛抢答器的设计

47、基于FPGA的18路智力竞赛电子抢答器设计

48、基于USB接口的数据采集系统设计与实现

49、基于单片机的简易智能小车的设计

50、基于单片机的脉象信号采集系统设计

51、一种斩控式交流电子调压器设计

52、通信用开关电源的设计

53、鸡舍灯光控制器

54、三相电机的保护控制系统的分析与研究

55、信号高精度测频方法设计

56、高精度电容电感测量系统设计

57、虚拟信号发生器设计和远程实现

58、脉冲调宽型伺服放大器的设计

59、超声波测距语音提示系统的研究

60、电表智能管理装置的设计

通信工程专业毕业论文题目相关 文章 :

★ 通信工程毕业论文题目

★ 通信工程毕业论文题目

★ 通信工程毕业论文选题

★ 通信工程专业毕业论文

★ 通信工程的毕业论文范例(2)

★ 通信工程的毕业论文(2)

★ 通信工程的毕业论文参考范文

★ 通信工程方面毕业论文(2)

★ 通信工程的毕业论文优秀范文(2)

★ 通信工程本科毕业论文

·GSM汽车防盗报警系统设计 (字数:15909,页数:46)·公交卡收费管理系统设计 (字数:13130,页数:34)·GSM数字通信机研究设计 (字数:17751,页数:48)·射频式计费卡系统设计与研究 (字数:13522,页数:36)·基于51单片机的超声波测距仪的设计分析 (字数:10545,页数:31)·全自动洗衣机模糊控制器的设计 (字数:16252,页数:41)·基于AT89C51的超声波测速系统 (字数:9584,页数:29 )·单相正弦波逆变电源 (字数:9589,页数:43 )·超声波液位计的设计 (字数:7532,页数:28 )·数字温度计 全套 (字数:7963,页数:24 )·基于数字图像处理的人民币纸币面向识别 (字数:6665,页数:21 )·基于单片机的饮水机温度控制系统 (字数:11411,页数:42)·基于单片机的湿度控制系统设计 (字数:10074,页数:33)·基于单片机的电子密码锁设计 (字数:7747,页数:32 )·基于单片机的电器遥控器的设计 (字数:10446,页数:31)·基于MCS-51的智能温度控制仪 (字数:8309,页数:30 )·基于DS18B20的多点测温系统 (字数:7853,页数:47 )·基于AT89S51单片机的汽车防撞系统的设计 (字数:8028,页数:27 )·基于DM6437的图像平滑算法的设计与实现 (字数:20706,页数:57)·基于单片机的语音录播放系统 (字数:9344,页数:28 )·铁路客车空调车厢内温度控制系统仿真 (字数:8614,页数:25 )·十字路口交通灯 (字数:8829,页数:28 )·基于单片机的脉冲燃烧热水炉控制器 (字数:6028,页数:27 )·基于单片机的火灾自动报警系统 (字数:8200,页数:27 )·基于AT89S52的智能人体健康电子秤的设计 (字数:7219,页数:27 )·基于AT89S52单片机的交通控制 (字数:653,页数:23 )·简单消防报警系统设计 (字数:5518,页数:21 )·基于时隙ALOHA的RFID防冲突算法和随机帧时隙防冲突算法的吞吐量研究 (字数:10395,页数:23)·汽车倒车防撞系统设计 (字数:25296,页数:47)·由AT89S51单片机控制实现的电子计时器系统 (字数:9135,页数:29 )·基于Freescale DT512的单片机闹钟设计 (字数:15400,页数:64)·智能温度报警系统的设计 (字数:11793,页数:36)·单片机温度控制系统 (字数:10581,页数:34)·单片机温度测量电路 (字数:13171,页数:41)· 环境噪声测试终端采集传输系统的设计 (字数:17921,页数:54)·基于MATLAB的直流电机控制的仿真 (字数:12001,页数:33)·基于Verilog的交通灯控制系统设计 (字数:6044,页数:18 )·基于AVR单片机的超声波距离测量系统 (字数:12946,页数:52)·基于XR-2206、AT89S51的信号发生器设计——频率显示部分 (字数:9051,页数:35 )·基于CPLD的CMI编译码器设计 (字数:8757,页数:34 )·自适应噪声抵消系统 (字数:14389,页数:35)·语音报价器设计 (字数:18177,页数:45)·心率失常计算机自动诊断 (字数:10645,页数:31)·图像灰度形态学算法的研究与实现 (字数:11698,页数:28)·数字式带通滤波器的设计 (字数:13225,页数:39)

家用可燃气体报警器的设计·基于数字温度计的多点温度检测系统·基于凌阳单片机的语音实时采集系统设计·基于单片机的数字频率计的设计·基于单片机的数字电子钟设计·设施环境中温度测量电路设计·汽车倒车防撞报警器的设计·篮球赛计时记分器·基于单片机的家用智能总线式开关设计·设施环境中湿度检测电路设计·基于单片机的音乐合成器设计·设施环境中二氧化碳检测电路设计·基于单片机的水温控制系统设计·基于单片机的数字温度计的设计·基于单片机的火灾报警器·基于单片机的红外遥控开关设计·基于单片机的电子钟设计·基于单片机的红外遥控电子密码锁·大棚温湿度自动监控系统·基于单片机的电器遥控器的设计·单片机的语音存储与重放的研究·基于单片机的电加热炉温度控制系统设计·红外遥控电源开关·基于单片机的低频信号发生器设计·基于单片机的呼叫系统的设计·基于PIC16F876A单片机的超声波测距仪·基于单片机的密码锁设计·单片机步进电机转速控制器的设计·由AT89C51控制的太阳能热水器·防盗与恒温系统的设计与制作·AT89S52单片机实验系统的开发与应用·基于单片机控制的数字气压计的设计与实现·智能压力传感器系统设计·智能定时器·基于单片机的智能火灾报警系统·基于单片机的电子式转速里程表的设计·公交车汉字显示系统·单片机数字电压表的设计·精密VF转换器与MCS-51单片机的接口技术·基于单片机的居室安全报警系统设计·基于89C2051 IC卡读/写器的设计·PC机与单片机串行通信毕业论文·球赛计时计分器 毕业设计论文·松下系列PCL五层电梯控制系统我这有,肯定有你满意的QQ 89 ........................................后面接着输入...... 36........................................后面接着输入...... 28........................................后面接着输入...... 136 (4行连着输入就是我的QQ)

汽车刹车灯电路毕业论文

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

汽车空调维修毕业论文摘要:随着汽车工业的迅猛发展和人民生活水平的日益提高,汽车开始走进千家万户。人们在一贯追求汽车的安全性、可靠性的同时,如今也更加注重对舒适性的要求。因而,空调系统作为现代轿车基本配备,也就成为了必然。近年来,环保和能源问题成为世界关注的焦点,也成为影响汽车业发展的关键因素,各种替代能源动力车的出现,为汽车空调业提出了新的课题与挑战。自本世纪20年代汽车空调诞生以来,伴随汽车空调系统的普及与发展,汽车空调的发展大体上经历了五个阶段:单一取暖阶段、单一冷气阶段、冷暖一体化阶段、自动控制阶段、计算机控制阶段。空调的控制方法也经历了由简单到复杂,再由复杂到简单的过程。作为汽车空调系统的电路控制方面也再不段的更新改进,同时,我国汽车空调的安装随着汽车业的发展以达到100%的普及性,空调已成为现代汽车的一向基本配备。给汽车空调的使用与维修问题带来新的挑战。论文最后以汽车空调故障检修的方法,对汽车空调系统的再深入探讨,以达到对汽车空调系统的了解,并运用在实际工作中。关键词:汽车空调压缩机检修(一)汽车空调的过去与未来汽车空调是指对汽车座厢内的空气质量进行调节的装置。不管车外天气状况如何变化,它都能把车内的湿度、温度、流速、洁度保持在驾驶人员感觉舒适的范围内。最原始的汽车空调仅是开窗换气式。最早的汽车空调装置始于1927年,它仅由加热器、通风装置和空气过滤器三者组成,且只能对车室供暖。准确地讲,汽车空调的历史,应该从制冷技术应用在车上开始。20世纪30年代末期美国的几部公共汽车上装上了应用制冷技术的冷气装置。直到20世纪60年代,应用制冷技术的汽车空调才开始逐步地普及起来。以后,人们对汽车空调的兴趣逐年增加,汽车空调技术日趋完善,功能也越来越全面。它的发展大体上可以分为如下几个阶段:单一供暖空调装置阶段始于1927年,目前在寒冷的北欧,亚洲北部地区,汽车空调仍使用单一供暖系统。单一供冷空调装置阶段始于1939年,美国帕克汽车公司率先在轿车装上机械制冷降温空调器。目前单一降温的汽车空调仍在热带、亚热带部分地区使用。冷暖型汽车空调阶段始于1954年,原美国汽车公司,首先在轿车安装于冷暖一体化空调器,这样汽车空调才具备了降温、除湿、通风、过滤、除霜等空气的调节功能。该方式目前仍然大量的使用在低档车上,是目前使用量最大的一种方式。自控汽车空调装置阶段由于前述的冷暖型汽车空调需依靠人工调节,这既增加上司机的工作量,还使控制不理想。通用汽车公司1964年率先在轿车上应用自控汽车空调。自控空调只需预先设定温度装置,便能自动地在设定的温度范围内运行。装置根据传感器随时检测车外温度,自动地调制装置各部件工作,达到控制车外温度和行驶其他功能的目的。目前,大部分的中高级轿车,高级大客车都装备自控空调电脑控制汽车空调阶段自1977年美国通用汽车公司、日本五十铃汽车公司,同时将自行研制的电脑控制汽车空调系统装上各自的轿车上后,即预示着汽车空调技术已发展到一个新阶段。电脑控制的汽车空调功能增加,显示数字化,冷、暖、通风调控三位一体化。电脑按照车内外的环境所需,实现了调节的精细化。通过电脑控制实现了空调运行与汽车运行的协调,极大地提高了制冷效果,节约了燃料,从而提高了汽车的整体性能和舒适程度。目前电脑控制的空调都装上豪华型轿车上。(二)汽车空调的特点众所周知汽车空调是以采用发动机的动力为代价来完成调节车厢内空气环境的。了解汽车空调的特点,有利于进行汽车空调的使用和维修。与室内空调相比,汽车空调主要有如下特点:1.汽车空调安装在行驶的车辆上,承受着剧烈频繁的振动和冲击,因此,各部件应有足够的强度和抗振能力,接头应牢固并防漏。不然将会造成汽车空调制冷系统的泄露,结果破坏了整个空调系统的工作条件,严重的会损坏制冷系统的压缩机等部件。使用中要经常检查系统内制冷剂的多少,据统计,由于制冷剂的泄露而引起的空调故障约占全部故障的80%。2.汽车空调所需的动力均来自发动机。其中轿车、轻型汽车、中小型客车及工程机械,空调所需的动力和驱动汽车的动力均来自一台发动机。这空调称非独立空调系统。大型客车和豪华型大、中客车,由于所需制冷量和暖气量大,一般采用专用发动机驱动制冷压缩机和设立独立的取暖设备,故称之为独立式空调系统。虽然非独立空调系统会影响汽车的动了性,但它相对于独立空调,在设备成本、运行成本上都较经济。据测试,汽车安装了非独立式空调后,耗油量会增加10%到20%(与车速有关)。发动机输出功率减少10%到12%。3.汽车空调的特定工作环境要求汽车空调的制冷、制热能力尽可能的大。其原因如下:(1)夏天车内的乘客密度大,产热量大,热负荷高;冬天采暖人体所需的热量亦大。(2)为了减轻自重,汽车隔热层一般很薄,加上汽车门窗多,面积大,所以汽车隔热性差,热损大。(3)汽车的工作环境因在野外,直接受阳光、霜雪、风雨等的影响,环境变化剧烈。要使汽车空调在最短的时间里在车厢内达到舒适的环境,就要求其制冷量特别大。对非独立的空调系统来说,由于发动机工况频繁变化,所以制冷系统的制冷机变化大。比如发动机在高速和怠速运行时,转速相差10倍。这必然导致压缩机输送的制冷剂量变化极大。制冷剂流量变化大,轻者引起制冷效果不佳,重者引起压力过高,压缩机出现敲击现象,发生事故。因此,汽车空调制冷系统较室内复杂得多。(4)由于汽车本身的特点,要求汽车空调结构紧凑,质轻、量小,能在所有限的空间进行安装。目前空调的总比重比60年代下降了50%,而制冷能力却提高了50%。(5)汽车空调的供暖方式与室内空调完全不同。对于非独立式汽车空调,一般利用发动机的冷却水或废气余热,而室内空调则是利用一个电磁阀,改变制冷剂量,机组很快起动并转入稳定状况。(三)汽车空调的性能评价指标1.温度指标温度指标是指最重要的一个环节。人感到最舒服的温度是200C到280C,超过280C,人就会觉得燥热。超过400C,即为有害温度,会对人体健康造成损害。低于140C人就会觉得冷。当温度下降到00C时,会造成冻伤。因此,空调应用控制车内温度夏天在250C,冬天在180C,以保证驾驶员正常操作,防止发生事故,保证乘员在舒适的状况下旅行。2.湿度指标湿度的指标用相对湿度来表示。因为人觉得最舒适的相对湿度在50%--70%,所以汽车空调的湿度参数要控制在此范围内。3.空气的清新度由于空间小,乘员密度大,在密闭的空间内极易产生缺氧和二氧化碳浓度过高。汽车发动机废气中的一氧化碳和道路上的粉尖,野外有毒的花粉都容易进入车厢内,造成车内空气浑浊,影响驾驶人员身体健康。这样汽车空调必须具有对车内空气过滤的功能,以保证车内空气清新度。4.除霜功能由于有时汽车内外温度相差很大,会在玻璃上出现雾式霜,影响司机的视线,所以汽车空调必须有除霜功能。5.操作简单、容易、稳定。汽车空调必须作到不增加驾驶员的劳动强度,不影响驾驶员的视线的正常驾驶。第二章汽车空调的组成与原理(一)汽车空调的工作原理压缩机运转时,将蒸发器内产生的低温低压制冷剂蒸气吸入并压缩后,在高温高压(约700C,1471KPa)的状况下排出。这些气态蒸气流入冷凝器,并在此受到散热和冷却风扇的作用强制冷却到500C左右。这时,制冷剂由气态变为液态。被液化了的制冷剂,进入干燥器,除去了水和杂质后,流入膨胀阀。高压的液态制冷剂从膨胀阀的小空流出,变为低压雾状后流入蒸发器。雾状制冷剂在蒸发器内吸热汽化变为气态制冷剂,从而使蒸发器表面温度下降。从送风机出来的空气,不断流过蒸发器表面,被冷却后送进车厢内降温。气态制冷剂通过蒸发器后又重新被压缩机吸入,这样反复循环即可达到制冷目的。(二)汽车空调主要功能包括以下4大部分:制冷、制热、通风、除湿制冷系统原理:汽车空调的压缩机依靠汽车发动机的动力提供,汽车在怠速状态下打开空调制冷怠速会明显增大,油耗也会相应的增加,油耗增加的大小与环境温度有最直接的关系,环境温度高制冷剂膨胀的压力大,发动机驱动空调的消耗也相应加大,环境温度低油耗相应减少。制热系统原理:汽车空调制热与压缩机没有丝毫关系,制热的热源不是空调本身获取的,是由汽车的散热水箱(中控台下面的暖风机总成内的副水箱)提供,早晨在热车前空调吹出来的是冷风,待热车后空调热风源源不断的送出来,制热本身基本没有能量消耗,是利用汽车的余热完成的.但在冬季,为了提升水温,加大喷油量,也使耗油量增加。但是只是在启动初期,等发动机运转正常,就是利用发动机的散热来供暖了。(而有的柴油车由于水温上升慢,为了一发动车就能享受到暖风,所以在暖风机里面加有电热丝)。通风:通风分为内循环和外循环,使用内循环时车内空气基本不与外界交流,使用外循环时位于挡风玻璃下的新风口会将外界的空气源源不断的送进来,以保持车内空气的清新.除湿:空调制冷的过程就是除湿的过程,从制冷时产生的大量冷凝水就可以看出来了,在湿度较大的阴雨天气或是温差太大的时候车内的玻璃上容易起雾,打开空调驱雾就是一个除湿的过程。(三)汽车空调的组成汽车空调一般主要由压缩机、电控离合器、冷凝器、蒸发器、膨胀阀、贮液干燥器、管道、冷凝风扇等组成。汽车空调分高压管路和低压管路。1.电磁离合器在非独立式汽车空调制冷系统中,压缩机是由汽车主发动机驱动的。在需要时接通或切断发动机与压缩机之间的动力传递。另外,当压缩机过载时,它还能起到一定的保护作用。因此,通过控制电磁离合器的结合与分离,就可接通与断开压缩机。当空调开关接通时,电流通过电磁离合器的电磁线圈,电磁线圈产生电磁吸力,使压缩机的压力板与皮带轮结合,将发动机的扭矩传递给压缩机主轴,使压缩机主轴旋转。当断开空调开关时,电磁线圈的吸力消失。在弹簧作用下,压力板和皮带轮脱离,压缩机便停止工作。2.压缩机作用是使制冷剂完成从气态到液态的转变过程,达到制冷剂散热凝露的目的。同时在整个空调系统,压缩机还是管路内介质运转的压力源,没有它,系统不仅不制冷而且还失去了运行的动力。(1)用于汽车制冷系统的压缩机按运动型式可分为:往复活塞式曲轴连杆式径向活塞式轴向活塞式翘板式斜板式旋转式旋叶式圆形汽缸椭圆形汽缸转子式滚动活塞式三角转子式螺杆式涡旋式1)曲轴连杆式压缩机图(1)曲轴连杆式压缩机曲轴连杆式压缩机如图(1)它是一种应用较为广泛的制冷压缩机。压缩机的活塞在汽缸内不断地运动,改变了汽缸的容积,从而在制冷系统中起到了压缩和输送制冷剂的作用。压缩机的工作,可分为压缩、排气、膨胀、吸气等四个过程2)斜板式压缩机图(2)斜板式压缩机斜板式压缩机如图(2)它的润滑方式有两种,一种是采用强制润滑,用由主轴驱动的油泵供油到各润滑部位及轴封处。主要用于豪华型轿车或小型客车较大制冷量的压缩机。另一种是采用飞溅润滑,我国上海内燃机油泵厂生产的斜板式压缩机即是采用飞溅润滑。斜板式压缩机结构紧凑,效率高,性能可靠,因而适用于汽车空调。3)旋叶式压缩机图(3)旋叶式压缩机旋转叶片式压缩机如图(3)由于旋转叶片式压缩机的体积和重量可以做到很小,易于在狭小的发动机舱内进行布置,加之噪声和振动小以及容积效率高等优点,在汽车空调系统中也得到了一定的应用。但是旋转叶片式压缩机对加工精度要求很高,制造成本较高。4)滚动活塞式压缩机滚动活塞式压缩机具有质量小、体积小、零部件少、效率高、可靠性好以及适宜于大批量生产等优点。3.冷凝器汽车空调制冷系统中的冷凝器是一种由管子与散热片组合起来的热交换器。其作用是:将压缩机排出的高温、高压制冷剂蒸气进行冷却,使其凝结为高压制冷剂液体。汽车空调系统冷凝器均采用风冷式结构,其冷凝原理是:让外界空气强制通过冷凝器的散热片,将高温的制冷剂蒸气的热量带走,使之成为液态制冷剂。制冷剂蒸气所放出的热量,被周围空气带走,排到大气中。汽车空调系统冷凝器的结构形式主要有管片式、管带式和鳝片式三种。(1)管带式它是由多孔扁管与S形散热带焊接而成,如图12所示。管带式冷凝器的散热效果比管片式冷凝器好一些(一般可高10%左右〉,但工艺复杂,焊接难度大,且材料要求高。一般用在小型汽车的制冷装置上。(2)鳝片式它是在扁平的多通管道表面直接锐出鳝片状散热片,然后装配成冷凝器,如图13所示。由于散热鳝片与管子为一个整体,因而不存在接触热阻,故散热性能好;另外,管、片之间无需复杂的焊接工艺,加工性好,节省材料,而且抗振性也特别好。所以,是目前较先进的汽车空调冷凝器。4.蒸发器也是一种热交换器,也称冷却器,是制冷循环中获得冷气的直接器件。其作用是将来自热力膨胀阀的低温、低压液态制冷剂在其管道中蒸发,使蒸发器和周围空气的温度降低。同时对空气起减湿作用。5.膨胀阀膨胀阀也称节流阀,是组成汽车空调制冷系统的主要部件,安装在蒸发器入口处,是汽车空调制冷系统的高压与低压的分界点。其功用是:把来自贮液干燥器的高压液态制冷剂节流减压,调节和控制进入蒸发器中的液态制冷剂量,使之适应制冷负荷的变化,同时可防止压缩机发生液击现象(即未蒸发的液态制冷剂进入压缩机后被压缩,极易引起压缩机阀片的损坏)和蒸发器出口蒸气异常过热。6.贮液干燥器贮液干燥器简称贮液器。安装在冷凝器和膨胀阀之间,如图20所示,其作用是临时贮存从冷凝器流出的液态制冷剂,以便制冷负荷变动和系统中有微漏时,能及时补充和调整供给热力膨胀阀的液态制冷剂量,以保证制冷剂流动的连续和稳定性。同时,可防止过多的液态制冷剂贮存在冷凝器里,使冷凝器的传热面积减少而使散热效率降低。而且,还可滤除制冷剂中的杂质,吸收制冷剂中的水分,以防止制冷系统管路脏堵和冰塞,保护设备部件不受侵蚀,从而保证制冷系统的正常工作。贮液器出口端旁边装有一只安全熔塞,也称易熔螺塞,它是制冷系统的一种安全保护装置。其中心有一轴向通孔,孔内装填有焊锡之类的易熔材料,这些易熔材料的熔点一般为85℃-95℃。7.孔管孔管是固定孔口节流装置。两端都装有滤网,以防止系统堵塞。和膨胀阀一样,孔管也装在系统高压侧,但是取消了贮液干燥器,因为孔管直接连通冷凝器出口和蒸发器进口。孔管不能改变制冷剂流量,液态制冷剂有可能流出蒸发器出口。因此,装有孔管的系统,必须同时在蒸发器出口和压缩机进口之间,安装一个积累器,实行气液分离,以防液击压缩机。孔管是一根细钢管,它装在一根塑料套管内。在塑料套管外环形槽内,装有密封圈。有的还有两个外环形槽,每槽各装一个密封圈。把塑料套管连同孔管都插入蒸发器进口管中,密封圈就是密封塑料套管外径和蒸发器进口管内径间的配合间隙用的。安装使用后,系统内的污染物集聚在密封圈后面,使堵塞情况更加恶化。就是这种系统内的污染物,堵塞了孔管及其滤网。这种孔管不能修,如需维护,只能清理滤网。坏了只有更换,孔管内孔的积垢,也不能清理。8.积累器用孔管代替膨胀阀时,汽车空调制冷系统要在低压侧安装积累器。积累器是一种特殊形式的贮液干燥器,用于回气管路中的气液分离,滤网设计有特殊要求,只许润滑油从中通过,而不允许液态制冷剂从中通过。使用孔管的汽车空调制冷系统,总是存在一种可能性:制冷剂离开蒸发器时,还是液体。为了防止液态制冷剂损坏压缩机,必须在蒸发器出口和压缩机进口之间设置积累器,以防止液态制冷剂通过。液态制冷剂在积累器中蒸发,然后以气态形式进入压缩机。9.风机汽车空调制冷系统采用的风机,大部分是靠电机带动的气体输送机械,它对空气进行较小的增压,以便将冷空气送到所需要的车室内,或将冷凝器四周的热空气吹到车外,因而风机在空调制冷系统中是十分重要的设备。风机按其气体流向与风机主轴的相互关系,可分为离心式风机和轴流式风机两种。10.电磁旁通阀电磁旁通阀多用于大、中型客车的独立式空调制冷系统,其作用是控制蒸发器的蒸发压力和蒸发温度,防止蒸发器因温度过低而结霜。电磁旁通阀一般安装在贮液干燥器与压缩机吸入阀之间。11.主轴油封主轴油封损坏,会引起雪种和润滑油泄漏。一般可以从有关的油迹来确定泄漏的地方。也可将压缩机拆下,浸入水中,以进出、口不没入水中为度。将排气口堵住,再从进气口加气压。从有关冒气泡的地方很容易确诊是不是主轴油封泄漏。(四)汽车空调系统分类(按动力源分)1.独立式空调:有专门的动力源(如第二台内燃机)驱动整个空调系统的运行。一般用于长途货运、高地板大中巴等车上。独立式空调由于需要两台发动机,燃油消耗高,同时造成较高的成本,并且其维修及维护十分困难,需要十分熟练的发动机维修人员,而且发动机配件不易获得,尤其是进口发动机;另外设计和安装更容易导致系统质量问题的发生,而额外的驱动发动机更增加了发生故障的概率。2.非独立式空调:直接利用汽车的行驶动力(发动机)来运转的空调系统。非独立式空调由主发动机带动压缩机运转,并由电磁离合器进行控制。接通电源时,离合器断开,压缩机停机,从而调节冷气的供给,达到控制车厢内温度的目的。其优点是结构简单、便于安装布置、噪音小。由于需要消耗主发动机10%-15%的动力,直接影响汽车的加速性能和爬坡能力。同时其制冷量受汽车行驶速度影响,如果汽车停止运行,其空调系统也停止运行。尽管如此,非独立式空调由于其较低的成本(相对独立式空调),已逐渐成为市场的主导产品。目前,绝大部分轿车、面包车、小巴都使用这种空调。(五)汽车自动空调系统汽车自动空调系统指的是根据设置在车内外的各种温度传感器的输出信号,由ECU中的微机进行平衡温度的演算,对进气转换风扇、送气转换风门、混合风门、水阀、加热继电器、压缩机和鼓风机等进行自动控制,按照乘客的要求,使车厢内的温度和温度等小气候保持在使人体感觉最舒适的状态。自动空调控制系统的传感器一般有车厢内温度传感器、车厢外温度传感器、蒸发器温度传感器、太阳能传感器、水温传感器等。其中水温传感器位于发动机出水口,它将冷却水温度反馈至ECU,当水温过高时ECU能够断开压缩机离合器而保护发动机,同时也使ECU依据水温控制冷却水通往加热芯的阀门。各个传感器将温度信息反馈到ECU,ECU通过“混合风档”的冷暖风比例而控制空气流的温度,例如当温度过低时ECU指令冷气流经加热芯升温,当温度过高时则增大冷气,当车厢内温度达到预定值时,ECU会发出指令停止“混合风档”伺服电动机运转。同时,ECU还通过“方式风档”伺服电动机控制气流流向,确定出风口的吹风角度。第三章汽车空调的检修一、汽车空调检修的基本工具1.修理空调器的常用工具(1)活板手(2)开口扳手(3)套筒扳手(4)内六角扳手(5)钢丝钳(6)尖嘴钳(7)十字螺丝刀(8)一字螺丝刀(9)锉刀:圆(10)手弓钢锯(11)手枪钻(12)钻头(13)冲击钻(14)刀子(15)剪刀(16)锤子:铁锤、木锤、橡皮锤各1把(17)卡钳(18)小镜子(19)钢卷尺(20)酒精灯(21)温度计(22)电烙铁(23)万用表(24)低压测电笔2.维修用大设备(1)真空泵:一般选用排气量为2L/s,真空度达到5×10-4mmHg的真空泵;(2)气焊设备:氧气瓶、乙炔瓶、减压阀、乙炔单向阀及配套输气管及焊具共1套;(3)电焊设备:电焊机、输入和输出电缆线、焊把及、焊条共1套;(4)制冷器钢瓶:用来存放制冷剂,一般选用3kg~40kg不等,按实定;(5)定量加液器:可以准确地比空调器充注制冷剂1套;(6)台秤:以确保小钢瓶的充灌制冷剂不超过额定量,避免意外发生1台;(7)氮气瓶:存放氮气,可对空调器进行试压、检漏,以及对制冷系统进行冲洗1套及配套;(8)卤素检漏灯或电子卤素检漏仪:对制冷系统进行检漏1套;(9)兆欧表:测导线绝缘程度500V直流的1套;(10)数字温度表:1套测量空调器的进、出风温度;(11)功率表:测量空调器的输入功率1套;(12)可移动配电盘:供维修接临时电源用;3.维修专用工具(1)胀管器和扩口器:1套(2)割管刀:切割铜管1套(3)弯管器:滚轮式弯管器和弹簧管式弯管器各1套(4)修理阀:三通修理阀或复式修理阀1套(常用)(5)封口钳:将压缩机充气管封死,然后才可以焊封充气管1套(6)力矩扳手:空调配管之间的连接螺母一定要用相应的力矩扳手来坚固(7)电动空心钻:用以打墙孔(小孔径可用冲击钻)、钻头选用70mm、80mm两种规格二、汽车空调制冷系统检修的基本操作1.制冷系统工作压力的检测(1)将歧管压力计正确连接到制冷系统相应的检修阀上,如果手动阀,应使阀处于中位。(2)关闭歧管压力计上的两个手动阀。(3)用手拧紧歧管压力计上的高低压注入软管的联接螺母,让系统内侧的制冷剂将高低压注入软管内的空气排出,然后再将联接螺母拧紧。(4)起动发动机并使发动机转速保持在1000~1500r/min,然后打开空调A/C开关和鼓风机开关,设置到空调最大制冷状态,鼓风机高速运转,温度调节在最冷。(5)关闭车门、车窗和舱盖,发动机预热。(6)把温度计插进中间出风口并观察空气温度,在外界温度为270C时,运行5min后出风口温度应接近70C.(7)观察高低压侧压力,压缩机的吸气压力应为207pa~24kpa,排气压力应为1103~1633kpa。应注意,外界高温高湿将造成高温高压的条件。如果离合器工作,在离合器分离之前记录下数值。2.从制冷系统内放出制冷剂具体方法如下(1)关闭歧管压力计上的手动高低压阀,并将其高低压软管分别接在压缩机高低压检修阀上,将中间软管的自由端放在干净的软布上。(2)慢慢打开手动高压阀,让制冷剂从中间软布上排出,阀门不能开的太大,否则压缩机内的冷冻油会随制冷剂流出。(3)当压力表读数降到以下时,再慢慢打开手动低压阀,使制冷剂从高低两侧流出。(4)观察压力表读数,随着压力的下降,逐渐打开手动高低压阀,直至低压表读数到零为止。3.制冷剂充注程序抽真空作业从高压侧充注200g液态制冷剂第四章总结随着我国汽车工业的高速发展,作为汽车技术现代化标志之一的汽车空调技术在我国蓬勃发展。汽车空调大大改善了乘坐环境,提高了成员的舒适性。近年来,各种完善的多功能型空调装置的应用,受到用户的普遍欢迎。但对于汽车空调维修人员来说将面临新的挑战!本论文对汽车空调的原理、结构以及必备的工具等知识做了一般性的介绍。重点对修理、维护做了详尽的介绍。这样做的原因,主要是考虑本论文所面对是汽车空调维修人员,并由此希望能帮助学习动手解决一般汽车空调故障的技能。第五章参考文献【1】冯玉琪《实用空调制冷设备维修大全》电子工业出版社1994【2】张蕾《汽车空调》机械工业出版社2007【3】夏云铧齐红《汽车空调应用与维修—从入门到精通》机械工业出版社

摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

汽车车灯的设计毕业论文

要求多少字呢?详细要求私聊

第一部分摘要:随着电子技术在汽车上的普遍应用,汽车电路图已成为汽车维修人员必备的技术资料。目前,大部分汽车都装备有较多的电子控制装置,其技术含量高,电路复杂,让人难以掌握。正确识读汽车电路图,也需要一定的技巧。电路图是了解汽车上种类电气系统工作时使用的重要资料,了解汽车电路的类型及特点,各车系的电路特点及表达方式,各系统电路图的识读方法、规律与技巧,指导读者如何正确识读、使用电路图有很重要的作用。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。关键词:电路 单行线制 系统 导线 各种车灯目录:(1)全车线路的连接原则(2)识读电路图的基本要求(3)以东风EQ1090型载货汽车线路为例全车线路的认读a.电源系统线b.起动系统线路c.点火系统线路d.仪表系统线路e.照明与信号系统线路(4)全车电路的导线(5)识读图注意事项论汽车电路的识读方法在汽车上,往往一条线束包裹着十几支甚至几十支电线,密密麻麻令人难以分清它们的走向,加上电是看不见摸不着,因此汽车电路对于许多人来说,是很复杂的东西。但是任何事物都有它的规律性,汽车电路也不例外。一般家庭用电是用交流电,实行双线制的并联电路,用电器起码有两根外接电源线。从汽车电路上看,从负载(用电器)引出的负极线(返回线路)都要直接连接到蓄电池负极接线柱上,如果都采用这样的接线方法,那么与蓄电池负极接线柱相连的导线会多达上百根。为了避免这种情况,设计者采用了车体的金属构架作为电路的负极,例如大梁等。因此,汽车电路与一般家庭用电则有明显不同:汽车电路全部是直流电,实行单线制的并联电路,用电器只要有一根外接电源线即可。蓄电池负极和负载负极都连接到金属构架上,也就是称为“接地”。这样做就使负载引出的负极线能够就近连接,电流通过金属构架回流到蓄电池负极接线。随着塑料件等非金属材料在汽车上应用越来越多,现在很多汽车都采用公共接地网络线束来保证接地的可靠性,即将负载的负极线接到接地网络线束上,接地网络线束与蓄电池负极相连。汽车电路实行单线制的并联电路,这是从总体上看的,在局部电路仍然有串联、并联与混联电路。全车电路其实都是由各种电路叠加而成的,每种电路都可以独立分列出来,化复杂为简单。全车电路按照基本用途可以划分为灯光、信号、仪表、启动、点火、充电、辅助等电路。每条电路有自己的负载导线与控制开关或保险丝盒相连接。灯光照明电路是指控制组合开关、前大灯和小灯的电路系统;信号电路是指控制组合开关、转弯灯和报警灯的电路系统;仪表电路是指点火开关、仪表板和传感器电路系统;启动电路是指点火开关、继电器、起动机电路系统;充电电路是指调节器、发电机和蓄电池电路系统。以上电路系统是必不可少的,构成全车电路的基本部分。辅助电路是指控制雨刮器、音响等电路系统。随着汽车用电装备的增加,例如电动座椅、电动门窗、电动天窗等,各种辅助电路将越来越多。旧式汽车电路比较简单,一般情况下,它们的正极线(俗称火线)分别与保险丝盒相接,负极线(俗称地线)共用,重要节点有三个,保险丝盒、继电器和组合开关,绝大部分电路系统的一端接保险丝或开关,另一端联接继电器或用电设备。但在现代汽车的用电装置越来越多的情况下,线束将会越来越多,布线将会越来越复杂。随着汽车电子技术的发展,现代汽车电路已经与电子技术相结合,采用共用多路控制装置,而不是象旧式汽车那样通过单独的导线来传送。使用多路控制装置,各用电负载发送的输入信号通过电控单元(ECU)转换成数字信号,数字信号从发送装置传输到接收装置,在接收装置转换成所需信号对有关元件进行控制。这样就需在保险丝、开关和用电设备之间的电路上添加一个多路控制装置(参阅广州雅阁后雾灯线路简图)。采用多路控制线路系统可。第二部分第二部分简要介绍了全车线路识读的原则、要求与方法以及电路用线的规格。主要针对其在东风EQ1090车型 汽车电路与电器系统应用情况作了概括性的阐述。其包括了电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等主要部分进行了说明。通过对东风EQ1090车型的系统学习,为以后接触到各类不同车型打下个坚实的基础。一、全车线路的连接原则全车线路按车辆结构形式、电器设备数量、安装位置、接线方法不同而各有不同,但其线路一般都以下几条原则:(1)汽车上各种电器设备的连接大多数都采用单线制;(2)汽车上装备的两个电源(发电机与蓄电池)必须并联连接;(3)各种用电设备采用并联连接,并由各自的开关控制;(4)电流表必须能够检测蓄电池充、放电电流的大小。因此,凡是蓄电池供电时,电流都要经过电流表与蓄电池构成的回路。但是,对于用电量大且工作时间较短的起动机电流则例外,即启动电流不经过电流表;(5)各型汽车均陪装保险装置,用以防止发生短路而烧坏用电设备。了解上面的原则,对分析研究各种车型的电器线路以及正确判断电器故障很有帮助。二、基本要求一般来讲全车电路有三种形式,即:线路图、原理图、线束图。(一)、识读电路图的基本要求了解全车电路,首先要识读该车的线路图,因为线路图上的电器是用图形符号以及外形表示的,容易识别。此外,线路图上的电器设备的位置与实际车上的位置是对应的,容易认清主要设备在车上的实际位置,同时,也可对设备的功能获得感性认识。识读电路图时,应按照用电设备的功用,识别主要用电设备的相对分布位置;识别用电设备的连接关系,初步了解单元回路的构成;了解导线的类型以及电流的走向。(二)、识读原理图的基本要求原理图是一图形符号方式,把全车用电设备、控制器、电源等按照一定顺序连接而成的。它的特点是将各单元回路依次排列,便于从原理上分析和认识汽车电路。识读原理图时,应了解全车电路的组成,找出各单元回路的电流通路,分析回路的工作过程。(三)、识读线束图的基本要求线束图是用来说明导线在车辆上安装的指导图。图上每根导线所注名的颜色与标号就是实际车上导线的颜色和到端子的所印数字。按次数字将导线接在指定的相关电器设备的接线柱上,就完成了连接任务。即使不懂原理,也可以按次接线。总上所述,掌握汽车全车线路(总线路),应按以下步骤进行:(1)对该车所使用的电气设备结构、原理有一定了解,知道他的规格。(2)认真识读电路图,达到了解全车所使用电气设备的名称、数量和实际安排位置;设备所用的接线柱数量、名称等。(3)识读原理图应了解主要电气设备的各接线柱和那些电器设备的接线柱相连;该设备分线走向;分线上开关、熔断器、继电器的作用;控制方式与过程。(4)识读线束图应了解该车有多少线束,各线束名称及在车上的安装位置;每一束的分支同向哪个电器设备,每分支又有几根导线及他们的颜色与标号,连接在那些接线柱上;该车有那些插接器以及他们之间的连接情况。(5)抓住典型电路,触类旁通。汽车电路中有许多部分是类似的,都是性质相同的基本回路,不同的只是个别情形。三、全车线路的认读下面以东风EQ1090型载货汽车线路为例,分析说明各电子系统电路的特点。东风EQ1090型载货汽车全车线路主要由电源系统、启动系统、点火系统、照明与信号系统、仪表系统以及辅助电器系统等组成。(一)电源系统线路电源系统包括蓄电池、交流发电机以及调节器,东风EQ1090汽车配装电子式电压调节器,电源线路如图。其特点如下:(1)发电机与蓄电池并联,蓄电池的充放电电流由电流表指示。接线时应注意电流表的-端接蓄电池正极,电流表的+端与交流发电机‘电枢’接线柱A或B连接,用电设备的电流也由电流表+端引出,这样电流表才能正确指示蓄电池的充、放电电流值。(2)蓄电池的负极经电源总开关控制。当发电机转速很低,输出电压没有达到规定电压时,由蓄电池向发电机供给磁场电流。(二)起动系统线路启动系统由蓄电池、启动机、启动机继电器(部分东风EQ1090型汽车配装复合继电器)组成,系统线路如图。启动发动机时,将点火开关置于“启动”档位,启动继电器(或复合继电器)工作,接通起动机电磁开关电路,从而接通起动机与蓄电池之间得电路,蓄电池便向起动机供给400~600A大电流,起动机产生驱动转矩将发动机起动。发动机起动后,如果驾驶员没有及时松开点火开关,那么由于交流发电机电压升高,其中性点电压达5V时,在复合继电器的作用下,起动机的电磁开关将自动释放,切断蓄电池与起动电动机之间的电路,起动机便会自动停止工作。根据国家标准GB9420--88的规定,汽车用起动电动机电路的电压降(每百安的培的电压差)12V电器系统不得超过,24V电器系统不的超过。因此,连接启动电动机与蓄电池之间的电缆必须使用具有足够横截面积的专用电缆并连接牢固,防止出现接触不良现象。(三)点火系统线路点火系统包括点火线圈、分电器、点火开关与电源。系统线路如图,其特点:(1)在低压电路中串有点火开关,用来接通与切断初级绕组电流;(2)点火线圈有两个低压接线端子,其中‘-’或‘1’端子应当连接分电器低压接线端子,“+”或“15”端子上连接有两根导线,其中来自起动机电磁开关的蓝色导线,(注:个别车型因出厂年代不同其导线颜色有可能不同)应当连接电磁开关的附加电阻短路开关端子“15a”;白色导线来自点火开关,该导线为附加电阻(电阻值为欧姆左右)所以不能用普通导线代替。起动发动机时,初级电流并不经过白色导线,而是由蓄电池经起动电磁开关与蓝色导线直接流入点火线圈,使附加电阻线被短路,从而减小低压电路电阻,增大低压电流,保证发动机能顺利起动。(3)在高压电路中,由分电器至各火花塞的导线称为高压导线,连接时必须按照气缸点火顺序依次连接。(四)仪表系统线路仪表系统包括电流表、油压表、水温表、燃油表与之匹配的传感器,系统线路如图所示。其特点如下:(1)电流表串联在电源电路里,用来指示蓄电池充、放电电流的大小。其他几种仪表相互并联,并由点火开关控制。(2)水温表与燃油表共用一只电源稳压器,其目的是当电源电压波动时起到稳压仪表电源的作用,保证水温表与燃油表读数准确。电源稳压器的输出电压为。报警装置有油压过低报警灯和气压过低蜂鸣器,分别由各自的报警开关控制。当机油压力低于50~90kpa时,油压过低报警开关触电闭合,油压过低指示灯电路接通而发亮,指示发动机主油道机油压力过低,应及时停车维修。东风EQ1090型汽车采用气压制动系统,当制动系统的气压下降到340~370kpa时,气压过低蜂鸣器鸣叫,以示警告。(五)照明与信号系统线路照明与信号系统包括全车所有照明灯、灯光信号与音响信号,系统线路如图所示。其特点如下:(1)前照灯为两灯制,并采用双丝灯泡;(2)前照灯外侧为前侧灯,采用单灯丝,其光轴与牵照灯光轴成20度夹角,即分别向左右偏斜20度。因此,在夜间行车时,如果前照灯与前侧灯同时点亮,那么汽车正前方与左右两侧的较大范围内都有较好的照明,即使在汽车急转弯时,也能照亮前方的路面,从而大大改善了汽车在弯道多、转弯急的道路上行驶时的照明条件;(3)前照灯、前下灯、前侧灯及尾灯均由手柄式车灯开关控制;(4)设有灯光保护线路;(5)制动信号灯不受车灯总开关控制,直接经熔断丝与电源连接,只要踩下制动踏板,制动邓开关就会接通制动灯电路使制动灯发亮;(6)转向信号灯受转向灯开关控制;(7)电喇叭由喇叭按钮和喇叭继电器控制

汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。下面是我整理的汽车前照灯技术论文,希望你能从中得到感悟!

汽车前照灯检测技术探讨

摘要:汽车前照灯是在夜间行驶的主要照明装置,远近光形的好坏和照射方向对汽车夜间安全行驶起着重要的作用。因此,为保障机动车运行安全,应对前照灯的有关性能进行严格检验。本文就汽车前照灯远近光检测技术进行了分析。

关键词:汽车;前照灯;检测

中图分类号:U46 文献标识码:A

前照灯是汽车在夜间或在能见度较低的条件下,为驾驶员提供行车道路照明的重要设备,也是驾驶员发出警示、进行联络的灯光信号装置。所以,前照灯必须有足够的发光强度和正确的照射方向。目前各大汽车检测站普遍采用先进的CCD成像技术和DSP图像处理相结合的方法进行汽车前照灯远近光的检测,从而达到汽车前照灯的自动跟踪光轴、发光强度、远光中心坐标、近光拐点坐标以及光轴偏角等特征参数的检测。

1 汽车前照灯远近光发光特点及作用

前照灯远光灯的发光特点

为了防止前照灯对司机和路人造成眩目,前照灯的灯具需要经过特别的设计,使灯具的发光性能达到一定的标准。所谓发光特性是指灯具发射可见光的光度(照射角度和发光强度)分布,其照射角度随方向而改变,常用发光强度分布曲线来表示。正常情况下,汽车前照灯远光发光特性,其光度分布如椭圆形状在上下方向和左右方向基本对称,越靠近中心点,照射度越大。

前照灯近光灯的发光特点

典型的前照灯近光的发光特性为非规则几何形状,具有明显的明暗截止线,在明暗截止线的左上方有一个比较暗的暗区,在明暗截止线的右下方有一个比较亮的亮区。其发光强度最强的区域在明暗截止线的右下方,光强最大的区域中心点,照度最大,并以这个中心点为中心,形成一定的等照度曲线。前照灯近光图可表示为图1,近光产生明显的明暗截止线,其水平部分在V-V′的左侧,右侧为与水平线向上15°的斜线或向上成45°的斜线。明暗线转折点处称为拐点。根据前照灯远近光的光形分布的特点,传统的前照灯远光检测技术以仪器检测为主,大多利用远光光斑图形的对称性,利用上下左右对称分布的光电池对光轴中心进行检测。而由于近光光斑图形的非对称性,无法使用测量远光的方法对近光进行单独检测,通常利用图像分析的办法来获取明暗截止线拐点的位置来测取远近光各个特征参数,为汽车驾驶员提供准确的数据。

汽车夜间行驶时,前照灯远光能照亮前100m处一定范围内高2m的物体,这样才能保证司机发现前方有障碍物时,及时采取制动或绕行措施,让停车距离在视距之内,确保行车安全。

2 汽车前照灯检测技术发展

汽车前照灯检测技术,从早期的屏幕观察检测,到后来的仪器检测,发展到现在用的CCD和数字图像处理(DSP)相结合的检测技术,都具备智能化、自动化检测技术水平。

屏幕法检测

简单的屏幕检测,就是在被测灯前方10m处垂挂一屏幕,在屏幕上按照标准要求画好光束照射位置点和线,把受检车辆的前照灯光打开,照射在屏幕上,用肉眼观察该光束的位置是否符合标准要求,可测近光和远光。这种方法的特点是设备简单,不需要软件处理系统,对场地和环境要求高、但效率较低,而且依赖人的主观判断的程度比较大,检测结果一致性较差,误差大。因此在大流量的检测线上,很少使用这种检测方法。

采用CCD感光检测技术

利用CCD摄像头的感光技术,将采集到的光信号转化为电信号的原理,并最终通过图像采集卡将模拟的电信号转化为数字信号,输出到计算机,由计算机数据处理系统进行处理,就可测出前照灯远光发光强度和近光偏移量。采用CCD对光检测技术,其检测精度完全可以满足国标±15′的要求。

数字图像处理DSP检测技术

这项新型的检测技术主要是把CCD摄像头采集到的模拟视频信号转化成数字视频信号,然后利用DSP(数字信号处理器)的数字视频采集卡及处理系统对数字视频信号根据需要进行数字运算和处理,以得到需要测量的参数。

从以上灯光检测技术的发展历程可以看出,随着电子技术和计算机技术的不断发展和普及,数字图像处理技术也得到了迅速的发展。到目前,各大汽车检测站用的较多的是利用CCD感光系统精确成像,采用DSP系统进行图像分析处理及电子控制技术,精确进行汽车前照灯远近光灯技术参数进行测试。DSP(Digital Signal Processing)数字信号处理具有速度快,集成度高,接口方便等特点。

3 CCD感光系统的测量原理

成像原理

利用几何光学中的物像对应关系,使远处的大范围光强分布成为较小的可测量实像,用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

根据GB7258-2004《机动车运行安全技术条件》中屏幕法的要求,前照灯利用几何光学中的物像对应关系,使远处(10m)屏幕上的大范围发光强度(光强)分布成为较小的可测量实像(1m处成像屏上),用面阵CCD作为图像传感器,可以一次得到整个平面上的光强分布。

前照灯可以认为是具有一定光强分布的面光源。前照灯在10m处光线会聚成像为AB。在光路中插人菲涅耳透镜组(假设等效为L)后,AB的光线实际会聚成实像为AB,如图2所示。

如果假设菲涅耳透镜的焦距为f,则有以下关系式:

选择合适比例的l和f彭阿以得到恰当的像,从而方便测量。

测量时的瞄准方式

空间角度的检测必须要获得2个点的位置,在光束偏角的测量中也不例外。在进行测之前,首先必须找到前照灯的位置或第一个光束参考点的位置。图3为瞄准前照灯方式的测量原理,这种测量方式是先利用CCD摄像头1找到前照灯的位置,然后用CCD摄像头2拍摄前照灯通过透镜成像后的光斑图像,分析其中的光轴位置(远光或近光),得到与零点相比的偏差,从而根据标定的数据得到实际前照灯的角度偏差值。

直接对准前照灯:

这种测量方式是先利用摄像头找到车灯的位置,然后拍摄成像后的光斑图像,分析其中的光轴位置(远光或近光),得到和零点相比的偏差,从而根据标定的数据得到实际的角度偏差值。

光强测量分析

由于在低照度下,CCD的输出电压与照度有良好的线性关系,这样CCD面元信号的数字量便可与外部光源照射到检测幕布上照度值联系起来了。根据测量时建立起来的关系数据库,根据空间采样后各像元的数字量即得出各点的光照强度。

角度测量分析

主要利用灯光(远光中心点、近光明暗截止线转角点)在屏幕上会有X的位移,经透镜成像后,在透镜像方焦平面上引起的成像点的位移X′可由CCD获得的数字化图像分析求出,进而推算出光轴偏转角度。利用远光照明的对称性,找到远光光斑的对称中心,然后在前照灯打开近光照明的条件下,模拟人眼的判断过程,对近光的拐点进行分析。同样的,在进行近光角度检测时,由于CCD图形具有分辨率高的优势,结合计算机技术,和光电池扫描的方法相比可以进行更为准确的拐点的搜寻。

结束语

综上所述,选用专业的图像处理芯片对前照灯近光光束配光图像进行分析处理,可准确确定近光光束明暗截止线转角和近光光束照射方向。

参考文献

[1]吴勇,邹颖.前照灯检测仪检测距离的探讨[J].汽车维护与修理,2005,12.

[2]赵彬.汽车前照灯检测过程中存在的问题及对策[J].无锡商业职业技术学院学报,2008,06.

点击下页还有更多>>>汽车前照灯技术论文

我以前做过类似的论题,朋友若是能给点辛苦费,我来帮你完成。

  • 索引序列
  • 汽车机油灯报警相关毕业论文
  • 汽车灯具毕业论文
  • 汽车倒车防撞报警系统毕业论文
  • 汽车刹车灯电路毕业论文
  • 汽车车灯的设计毕业论文
  • 返回顶部