• 回答数

    4

  • 浏览数

    210

红色高跟鞋H
首页 > 学术期刊 > 汽车灯具毕业论文

4个回答 默认排序
  • 默认排序
  • 按时间排序

一起去听风

已采纳

我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光开关一个是变光开关。当示宽灯全不亮可以判断定光开关有问题,在继续变换灯管时候两侧同时不亮可以判定变光开关有问题。一侧灯光不亮(变光开关、灯光开关都正常)由于威驰车没有继电器所以就去灯罩后面的插接器出检测,没问题再查灯泡。一侧亮一侧暗文体就出自于有问题一侧的灯光保险可能出现问题!以上就是我对于汽车灯光故障的检查分析故障车辆丰田威驰

192 评论

周大侠go

基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。关键词: VHDL 汽车尾灯控制 时钟信号1. 尾灯控制电路总框图,根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。2.模块KONG。模块KONG如图所示,此为整个程序的控制模块。程序如下:Library ieee;Use ;Entity kong isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);End kong;Architecture kong_logic of kong isBeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen”00”=>lft<=’0’;Rit<=’0’;Lr <=’0’;When”10”=>lft<=’1’;Rit<=’0’;Lr <=’0’;When”01”=>rit<=’1’;Lft<=’0’;Lr <=’0’;When other=>rit<=’1’;lft<=’1’;lr<=’1’;end case;end process;end kong_arc;控制模块首先使用了库说明语句:library ieee;Use 使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。3. 模块LFTA源程序:Library ieee;Use ;Entity lfta isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);End lfta;Architecture lft_arc of lfta isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”001”;ElseTmp:=tmp(1 downto 0) & ‘0’;End if ;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;End lft_arc;模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。4.模块RITA源程序:Library ieee;Use ;Entity rita isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);End rita;Architecture rit_arc of rita isBeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr=’1’ thenTmp:=”111”;Elsif en=’0’ thenTmp:=”000”;Elsif clk’event and clk=’1’ thenIf tmp=”000” thenTmp:=”100”;ElseTmp:=’0’ & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;End rit_arc;和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。5.结论:本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。6.参考资料:王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月 ieee;use ;entity ZHUKONG isPort(left,right:in std_logic;Lft,rit,lr:out std_logic);end;architecture kong_arc of ZHUKONG isbeginProcess(left,right)Variable a:std_logic_vector(1 downto 0);BeginA:=left & right;Case a isWhen"00"=>lft<='0';Rit<='0';Lr <='0';When"10"=>lft<='1';Rit<='0';Lr <='0';When"01"=>rit<='1';Lft<='0';Lr <='0';When others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;library ieee;use ;entity LFTA isPort(en,clk,lr:in std_logic;L2,l1,l0:out std_logic);end;architecture lft_arc of LFTA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="001";ElseTmp:=tmp(1 downto 0) & '0';End if;End if;L2<=tmp(2);L1<=tmp(1);L0<=tmp(0);End process;end lft_arc;library ieee;use ;entity RITA isPort(en,clk,lr:in std_logic;R2,r1,r0:out std_logic);end;architecture rit_arc of RITA isbeginProcess(clk,en,lr)Variable tmp:std_logic_vector(2 downto 0);BeginIf lr='1' thenTmp:="111";Elsif en='0' thenTmp:="000";Elsif clk'event and clk='1' thenIf tmp="000" thenTmp:="100";ElseTmp:='0' & tmp(2 downto 1);End if;End if ;R2<=tmp(2);R1<=tmp(1);R0<=tmp(0);End process;end rit_arc;

118 评论

pollyshen206

内容简介: 毕业设计(论文) PLC交通灯电气控制设计,共17页,6857字 [摘 要]: 针对近年来城市交通的拥挤现象,特别是驾驶员违章严重、交通事故频发、车辆尾气污染等问题,介绍丁集计算机、信息、电子及通讯等众多高新技术手段于一体的智能交通指挥中心控制系统.该系统的安装及使用,大大缓解了城市道路堵塞现象、提高了道路的通行能力.减少了驾驶员违章的次数,抑制了交通事故的发生,同时对减轻车辆尾气排放,从而降低环境污染都起到了不可低估的作用. 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 [关键词]: 交通控制 交通灯 PLC控制机下载地址

251 评论

脂肪君绝缘体

汽车故障原因诊断综合分析法【论文关键词】汽车故障 综合判断【论文摘要】分析了汽车故障原因及部位(全车各部位、机械、电气、油、气等),并提出了科学合理的判断方法,即故障概率顺序排列法及辅助判断法,可迅速地确定故障所在部位。结果表明,汽车发生故障的可能性主要取决于产品质量,可靠性高的产品其出现故障的部位往往是正常思维可以想到的;而产品质量有缺陷的车型,故障部位往往出现在人们正常思维无法判断的。利用综合分析方法,对判断车辆故障具有重要指导价值。 一、原因分析 一辆奔驰560SEL轿车因气门异响更换新摇臂后出现怠速剧烈抖动的情况。按照一般思维过程,只拆装过摇臂、凸轮轴,查找故障应当首先考虑这几个部位,如果顺着这条线索查找下去,也许很快就可以排除故障。但遗憾的是修理工在断火试验时发现至少有三个缸工作不良,他当然想到只更换了一个缸的摇臂,即便是有故障也不会引起这三个缸都不工作,故障原因可能在于其他方面。根据经验,可能原因排列: (1)废气再循环(EGR)系统故障,废气大量进入气缸(此项可能性最大)。 (2)进气系统漏气,混合气太稀,怠速工作不良(此项可能性居中) (3)更换摇臂型号(质量)有缺陷(此项可能性最小)。 二、故障判断方法 (1)检查废气再循环系统。将EGR阀上真空管去掉,故障依旧;再将EGR阀从发动机上拆下,发现该阀锈蚀严重,废气通道与进气通道根本就不通,废气并未进入气缸,可能性最大的一项成为不可能。接下来检查进气系统,没有发现有漏气的地方,第二种可能性也被排除。对于第三种可能性,即使最终发现是摇臂的问题,对于本次维修而言,也不能算是一次圆满成功的维修,因为到这个时候检修工作已进行了半天,车主对此已有所不满,当然最终发现确实是摇臂型号不对,与气门的接触面新摇臂比旧摇臂高约2mm,磨去一段后修正至标准值,重新装复后发动机怠速平稳,故障排除。如若检修车辆是在拆装、调整后出现的故障,应当首先对这部分进行检查,而不能按常规步骤来进行。时隔不久一辆新款丰田(CARMY )轿车因行车时捣缸,发动机损坏而入厂维修。更换新缸体及其他部件后试机起动,发动机却始终无法起动。在起动机带动发动机运转的过程中,发动机不是回火即是放炮,象是点火错乱,检查高压线也并未插错(该发动机为直接点火)。吸取上一次教训,不能盲目检查,先询问修理工拆装发动机时有何异常情况,修理工回答在曲轴上有一齿轮形传感器,分解发动机时因生锈无法从曲轴上拆下,强行撬下来后发现有一个齿开裂,用502胶粘牢后又装上,结果出现上述故障。根据所获得的信息,让修理工将曲轴位置传感器转子从车上拆下,仔细检查并未看出有明显异常,粘接处也几乎看不出痕迹来;但对于人自身看不出来的故障及零件缺陷,电脑未必不会监测到,因为修理厂条件所限,无法用示波器观察到传感器输出波形,但对于本车所述故障,从概率方面分析,我仍认为曲轴位置传感器转子损坏具有最大可能性。 (2)电脑损坏。但众所周知,即使电脑可以输出正常代码,也不能绝对地认为电脑一定正常,但这种可能性较小。 (3)气缸压力不足。但在配气相位正确的情况下,四个气缸同时出现压力不足的情况的可能性也较小。经以上分析,建议修理厂购买新曲轴位置传感器转子,次日新件到货,装车一试立即着车。 三、故障概率分析法 一辆一汽生产的奥迪轿车出现蓄电池亏电的现象,在车库里放3、4天后蓄电池里的电几乎全部放完。修理工起初以为蓄电池失效,因自放电而亏电,换新蓄电池后故障依旧,修理人员几乎检查了所有部件,仍未查出故障,最后得出的结论是将第四个保险拔出,蓄电池即停止亏电。第四个保险所涉及内容包括:室内灯、阅读灯、点烟器、钟表、收音机、行李舱灯、空调指示灯。首先确定故障是否存在,点火开关关闭,将蓄电池负极断开再接上,可以看到蓝色电火花,证明确实存在较大电流放电。接下来并不急于检查故障部位,而是对第四个保险丝所涉及内容作一故障概率分析。 (1)点烟器不能自动弹出:将前后两个点烟器拔出,故障依旧,此项可能性被排除。 (2)室内灯、阅读灯、钟表、收音机、空调指示灯均可正常工作,但不能确定在点火开关关闭后其消耗电流是否正常,此项可能性居中。 (3)第四个保险丝所涉及线路有短路、搭铁处,消耗电流,此项可能性同上居中。用数字万用表测量第四个保险丝所消耗电流(点火开关关闭)为 A,粗略估算其功率=,其功率与行李舱照明灯接近,但行李舱钥匙被司机带走,无法打开检查,修理工建议拆下仪表检查钟表、收音机及相关线路,但笔者认定行李舱灯损坏可能性最大,要求修理厂先检查行李舱灯,检查其他部位可能费力不讨好。次日从修理厂得到消息:确实是行李舱灯烧坏:灯开关座下陷,即使关上行李舱盖灯泡仍不能熄灭,灯泡已烧坏发白,但灯丝未断,因而始终消耗电流。换新灯泡并修复开关座,故障排除。四、辅助诊断法 (1)眼观。观察仪表:观察电流、机油压力表、水温表和汽油指示表等指示车辆有关部位的工作情况,如发现显示数字异常,说明该部件出了问题。察看外观:如发动机排烟过多,排烟颜色异常;某些部件出现漏水、漏气、漏油、漏电等现象;车架车身变形,各部件间隙过大或过小。察扯油液:常规的油、液、媒检查不可忽视。机油、自动变速箱油、转向助力器油、齿轮油、制动液、冷却液、玻璃水、冷媒等油液的检查的车辆正常运行的保证,相关批示灯亮起,或是发现有缺少,要及时补充。察看颜色:通过察看车用零件液体的品质来判断故障。如某辆车自动变速器油颜色变紫,而且有少量浑浊物,可判断是自动变速器故障而不是发动机动力不足。 (2)耳听。发动机:由于不断变换油门,发动机发出的响声也是不相同的,要仔细听发动机声音有无异常。底盘:不断改换行驶速度,传动系的响声一般随车速的提高而增大,但当车速提高到一定程度后,有些响声反而减弱,甚至消失。分清响声的类型:如连响与间断响;脆响与闷响;有规则与无规则的响,并确认哪些是正常的,哪些是异常的。 (3)鼻闻。焦臭味:是制动拖滞,离合器打滑所致。烧机油、烧制动液能引起特殊气味。电器工作时烧毁线路会发出焦皮味。闻味的方法用的得当,可为诊断故障提供指导作用。 (4)手摸。用手摸制动鼓、后桥壳、变速器外壳来判断该部件的温度: 如手摸感到发热,温度大约40℃左右。感到烫手,但能坚持几分钟,温度约在50℃~60℃左右。手根本不能忍受,温度至少达80℃以上。 (5)隔离。部分的间隔,或隔断某些系统与某些部件的工作,以此来确定故障范围。如隔断某部件后,故障消失,说明故障发生在此部件;如故障还存在,则说明故障不此处。发动机:隔断某个缸(断火或断油),如果排烟消失或减少,则该缸有故障。底盘:如诊断底盘异响,可将变速杆放在空档位上,不断地接通和分开离合器,根据响声的变化来分析响声是发生在离合器还是变速器。电气:如某灯不亮,可将该灯与蓄电池直接接通,若灯亮,则说明连接该灯的导线发生了故障。 (6)试探。如诊断气门异响。若怀疑气门间隙过大所致,可用厚薄规检查,并调整规定值,若异响消失,即判断正确。若响声依然存在,再继续查找其他部位。 (7)比较。当某缸不工作时,如怀疑是火花塞问题,可交该火花塞与正常工作的火花塞对换,若故障转移,说明故障出于原火花塞。 五、结束语 在汽车故障诊断中,经常会遇到花费较长时间检查故障所涉及的部位仍未能查出故障,即使能够查出故障,在时间、精力方面也可能得不偿失;如果采用概率分析法则能够迅速、准确地确定故障,为客户节省时间的同时提高了自身的声誉。在汽车维修中,除了用仪表、检修仪器和工具对汽车进行诊断外,还应结合简易的人工诊断,对汽车故障诊断具有重要价值。 参考文献: [1] 汽车工程手册[M].北京:人民交通出版社,2001.转

194 评论

相关问答

  • 汽车灯具毕业论文

    我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光

    红色高跟鞋H 4人参与回答 2023-12-07
  • 汽车灯光控制毕业论文

    基于VHDL语言的汽车尾灯控制电路的设计摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并

    爱笑的眼乌珠 4人参与回答 2023-12-11
  • 汽车模具装配车间毕业论文

    我国考古发现,早在2000多年前,我国已有冲压模具被用于制造铜器,证明了中国古代冲压成型和冲压模具方面的成就就在世界领先。1953年,长春第一汽车制造厂在中国首

    L1ttleJuan 4人参与回答 2023-12-08
  • 汽车灯光故障毕业论文

    我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光

    好想你chen 4人参与回答 2023-12-11
  • 汽车灯光检测毕业论文

    我是从事汽修专业的你的这个问题我接触过!汽车前照灯故障分很多种,至于一侧灯光亮一侧灯光暗是其中一种!我用丰田威驰讲解一下。丰田威驰汽车灯光有两个开关。一个是灯光

    粒粒soso 3人参与回答 2023-12-07